Thesis
Thesis
Thesis
A DISSERTATION
SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING
AND THE COMMITTEE ON GRADUATE STUDIES
OF STANFORD UNIVERSITY
IN PARTIAL FULFILLMENT OF THE REQUIREMENTS
FOR THE DEGREE OF
DOCTOR OF PHILOSOPHY
He Yi
May 2015
This work is licensed under a Creative Commons AttributionNoncommercial 3.0 United States License.
http://creativecommons.org/licenses/by-nc/3.0/us/
ii
I certify that I have read this dissertation and that, in my opinion, it is fully adequate
in scope and quality as a dissertation for the degree of Doctor of Philosophy.
H.S.Philip Wong, Primary Adviser
I certify that I have read this dissertation and that, in my opinion, it is fully adequate
in scope and quality as a dissertation for the degree of Doctor of Philosophy.
Jonathan Fan
I certify that I have read this dissertation and that, in my opinion, it is fully adequate
in scope and quality as a dissertation for the degree of Doctor of Philosophy.
Eric Pop
This signature page was generated electronically upon submission of this dissertation in
electronic format. An original signed hard copy of the signature page is on file in
University Archives.
iii
Abstract
For more than 50 years, the size of the semiconductor devices has been scaling by
approximately a factor of two every 1.5-2 years. This has brought tremendous benefits
for the industry including lower cost per transistor, more computing power and higher
speed. However, it has been recently observed that the scaling of devices is approaching
fundamental (i.e. atomic scale) and economic (i.e. cost per fabrication facility) limits, in
large part because traditional lithography is facing substantial challenges for printing the
shrinking features while maintaining a reasonable cost. In response to this urgent need,
researchers are actively searching for alternative patterning approaches as the next
generation lithography. Potential solutions such as extreme ultraviolet lithography,
electron beam lithography, and multiple patterning lithography have attracted much
attention from the lithography community. However, each one of these solutions has its
own drawbacks, such as extremely high cost or low throughput. Among these solutions,
block copolymer directed self-assembly (DSA) stands out due to its low cost, high
throughput, well-controlled sub-20 nm features, and experimentally demonstrated
potential to scale below 14 nm.
Block copolymers are unique soft materials that can self-assemble through microphase
separation into various periodic nanostructures such as cylinders, spheres and lamellas,
driven by the incompatibility between the different blocks. The feature size of these
nanostructures is dependent on the molecular weight of the block copolymers and
therefore not limited by the same factors that limit optical lithography such as ultraviolet
light wavelength. In addition, the self-assembly could be controlled by a simple thermal
annealing process, which significantly reduces the cost and improves the throughput.
Among all the varieties of nanostructures, the cylindrical self-assembled patterns are
especially suitable for patterning contacts and vias in integrated circuits (ICs).
This dissertation focuses on the application of block copolymer DSA for contact hole
patterning in ICs. This work first demonstrates the flexible control of aperiodic DSA
patterns using small physical guiding templates, using both experiments and
iv
Acknowledgement
The research work in this dissertation is achieved with many help from the people who
were part of my graduate life. I wish to acknowledge these people for making my journey
at Stanford University an enjoyable and memorable one.
First, I would like to thank my principle advisor Professor H.-S. Philip Wong, without
which this work would be impossible. Prof. Wong has offered his support, guidance, and
insightful perspectives throughout the years. His knowledge and open-mindedness not
only enlightened me on research, but also have a great inspiration on my viewpoint to life.
He is an exceptional researcher and wonderful mentor, and he is always willing to spend
time with students and figure out the research directions. He listens to students, respects
our opinions, and encourages us with creative ideas. I would not achieve so much without
his guidance.
I would also like to thank Prof. Jonathan Fan and Prof. Eric Pop, not only for their
willingness to be in my reading committee, but also for their kind suggestions regarding
my research and career path. I greatly appreciate Prof. Fabian Pease for serving as the
chair of my oral defense committee, as well as his guidance throughout the years. I also
thank Dr. Bart Laenens for being an examiner in my oral defense and the guidance during
my internship in ASML Brion.
I feel very grateful for Xinyu Bao and Li-Wen Chang, who worked on this DSA project
before I joined Stanford. Without their experience and knowledge, I would not make so
much progress in my research. I would also like to thank my group members in Stanford
and Stanford Nano Shared Facility (SNSF). Special thanks to Dr. Richard Tiberio, Dr.
Jim McVittie and James Conway for their technical assistance and advises to help my
experiments and smooth my research.
In addition, I would like to acknowledge all my collaborators from UIUC and UCSB,
including Prof. Martin Wong, Yuelin Du, Zigang Xiao, Hongbo Zhang, Tatsu Iwama,
vi
Prof. Glenn Fredrickson and Nabil Laachi. Special thanks to all my collaborators in
industry, including Chris Bencher, Huixiong Dai, Yongmei Chen, Jeffery Smith, Xumou
Xu, and Liyan Miao at Applied Materials; Moshe Preil, Azat Latypov, Vito Dai, Gerald
Schmid, Ji Xu, Edward Teoh, and Nicholas Eib at Globalfoundries; Bart Laenens, Yi Zou,
Christopher Spencer, Chenxi Lin, Alek Chen, Huayu Liu, and Sander Wuister at
ASML/Brion; Tamara Druzhinina, Davide Ambesi, Joost Bekaert, Roel Gronheid, Geert
Vandenberghe, Jan Doise, BT Chen, Germain Fenger, and Koen Martens at IMEC;
Lucian Shifen at ARM; Seiji Morita at Toshiba; Andres Torres at Mentor Graphics; Fred
Chen at ITRI; Yan Borodovsky at Intel and Andre Linden at Raith. The industrial
experience inspires me and helps greatly in my research. And I benefit so much from
both conducting collaboration research and doing summer internships.
This work is funded in part by SRC/GRC and NSF. I would like to acknowledge all of
the funding agencies for their financial support.
Most importantly, I would like to thank my parents in China: my mother Rongping Ma
and my father Yanlai Xiong for their unconditional love and support. They encourage me
to strive to become better and teach me to be responsible and consistent. They make me
proud.
vii
Table of Contents
Abstract .............................................................................................................................. iv
Acknowledgement ............................................................................................................. vi
Table of Contents ............................................................................................................. viii
List of Tables ...................................................................................................................... x
List of Figures .................................................................................................................... xi
Chapter 1 Introduction ........................................................................................................ 1
1.1 Context .................................................................................................................. 1
1.2 Preview ................................................................................................................. 2
Chapter 2 Block Copolymer Directed Self-Assembly and Next Generation Lithography . 4
2.1 Directed Self-Assembly of Block Copolymer ...................................................... 4
2.1.1 Block Copolymers ..................................................................................... 4
2.1.2 Directed Self-Assembly ............................................................................. 6
2.2 Next Generation Lithography ............................................................................... 8
Chapter 3 Flexible Control of DSA Aperiodic Pattern Using Small Physical Guiding
Template and an Alphabet Approach ............................................................................... 12
3.1 Introduction ......................................................................................................... 12
3.2 Materials and Process Flow ................................................................................ 13
3.2.1 PS-b-PMMA (Polystyrene-block-Poly(methyl methacrylate)) ............... 13
3.2.2 Self-Assembly Process Flow ................................................................... 14
3.3 Small Physical Guiding Templates ..................................................................... 15
3.4 DSA Design Space.............................................................................................. 19
3.5 DSA Alphabet Concept....................................................................................... 23
Chapter 4 Computational simulation of DSA in small physical guiding templates ......... 25
4.1 Introduction ......................................................................................................... 25
4.2 Self-Consistent Field Theory (SCFT) ......................................................... 26
4.3 Simulation Study......................................................................................... 28
4.3.1 Parameters ................................................................................................ 28
4.3.2 Guiding template affinity parametric studies ................................................... 29
4.4 1-hole DSA pattern design space ........................................................................ 31
viii
ix
List of Tables
Table 1. A comparison between different next generation lithographic solutions. .......... 10
Table 2. Pitch length between adjacent contacts versus technology nodes [28]. ............. 38
List of Figures
Figure 2.1 Common structures of block copolymers. ......................................................... 5
Figure 2.2 Theory predicted phase diagram for A-b-B diblock copolymers and
morphologies observed as a function of A block volume fraction (fA) of A-b-B
diblock copolymers [10]. ............................................................................................ 6
Figure 2.3 The process schematic for (a) topographic templates, (b) topographic posts, (c)
chemical templates, and (d) chemical posts. (e)-(h) The SEM images of the BCP
patterns using the corresponding method above [5]. .................................................. 7
Figure 2.4 The price evolution of lithography system since 1985. ..................................... 9
Figure 3.1 Chemical structure of PS-b-PMMA (polystyrene-block-poly
(methyl methacrylate)). ............................................................................................. 13
Figure 3.2 (a) Schematic illustration of PS-b-PMMA and microphase separation of
PS-b-PMMA into hexagonally packed PMMA cylinders embedded in PS matrix. (b)
SEM micrograph showing self-assembled PS-b-PMMA. ........................................ 14
Figure 3.3 Process flow of PS-b-PMMA self-assembly process. ..................................... 15
Figure 3.4 SEM images of DSA patterns (after PMMA cylinder removal) confined by
templates with different sizes and the corresponding hole size distribution. a, single
hole in 75nm squares. b, single hole in 92nm squares. c, 4-hole patterns in 126 nm
square templates. d, hole size distribution of a. e, hole size distribution of b. f, hole
size distribution of c. g, 2-hole patterns in 60 nm 110 nm rectangle templates. h,
3-hole pattern in 70 nm 145 nm rectangle templates. i, hole size distribution of g. j,
hole size distribution of h. Scale bars: 200 nm. ........................................................ 17
Figure 3.5 The pitch and overlay accuracy analysis for 2-hole and 3-hole patterns. a, hole
pitch distribution for the 2-hole pattern. b, hole pitch distribution for the 3-hole
pattern. c, overlay accuracy analysis for upper hole A in the 2-hole pattern. d,
overlay accuracy analysis for lower hole B in the 2-hole pattern. e, overlay accuracy
analysis for upper hole A in the 3-hole pattern. f, overlay accuracy analysis for
middle hole B in the 3-hole pattern. g, overlay accuracy analysis for lower hole C in
the 3-hole pattern. ..................................................................................................... 18
xi
Figure 3.6 2-hole and 3-hole design space for the same BCP film thickness. Each data
point represents one template generating a 2-hole or 3-hole pattern with different
template length and area ratios. Area ratio is the top surface area over the template
area; a larger area ratio means lower template density. As the template length
increases, the distance between holes also increases until the 2-hole patterns turn
into 3-hole patterns. It is shown that if the area ratio is larger, either the hole pitch
will be larger for the same template length or a 3-hole pattern will replace the 2-hole
pattern. ...................................................................................................................... 20
Figure 3.7 (a) Examples of three standard cells [42]. (b) An example of the alphabet set
based on the cells in (a). With the templates in this alphabet set, one can pattern all
the cells in (a) using DSA. ........................................................................................ 24
Figure 4.1 (a) SCFT Simulation domain includes sidewall, substrate of the guiding
template, block copolymer and air. (b) Top view and the cross section view of the
density profile (Phase A volume fraction). ............................................................... 29
Figure 4.2 Majority phase colormap plots of DSA (a) cross-section (b) top-view in an
80x80x40 nm guiding template for various values of the wall and substrate affinity
parameters, wallN and subN. wallN is varied from 0 to -25 and subN is varied from
-25 to 25. Negative N refers to the material that has higher affinity to the minority
polymer phase than majority phase. The majority phase is shown in red while the
minority phase, substrate, sidewall and air are all blue. The green dot line separates
the region where minority phase forms a hanging cylinder and the region that it
forms a cylinder down to the bottom. ....................................................................... 30
Figure 4.3 (a) SEM images of DSA patterns confined by templates with different sizes
from 45 nm to 93 nm. Each number represents the CD of the guiding template in the
image below. (b) SEM images of DSA patterns with different polymer film
thickness. The CD of these guiding templates is 80 nm. The number/rpm represents
the spin speed of block copolymer solution in the image below. ............................. 32
Figure 4.4 Parametric study of DSA (a) cross-section (b) top-view in a 50nm deep square
guiding template for various values of the wall size and polymer film thickness,
which is defined as the distance between the bottom of air and top of sidewall. In
this study wallN and subN are both -25. The majority phase is shown in red while the
xii
minority phase, substrate, sidewall and air are all blue. The green dot line separates
the region where minority phase forms a hanging cylinder and the region that forms
an isolated minority phase cavity in the center of guiding template......................... 33
Figure 5.1 (a) Example of a cell layout designed in unidirectional style [52]. All lines are
designed with the same width and pitch, and the contact holes are positioned only at
pre-determined grid points. The lines of poly-gate (purple) are perpendicular. Some
closely positioned contacts are marked with red circles. (b) Four smallest contact
pitches in (a), which correspond to the contact pairs in red circles except for the first
pair. ........................................................................................................................... 38
Figure 5.2 Demonstration of the three strategies described above. From left to right: (1)
the minimum contact pitch is larger than the resolution of conventional optical
lithography. (2) The minimum contact pitch is larger than the maximum DSA hole
pitch but smaller than the resolution of conventional optical lithography. (3) The
minimum contact pitch falls within the range of DSA hole pitch. ........................... 40
Figure 5.3 (a) Conventional half adder HA-X1 layout from Nangate 45nm Open Cell
Library. (b) Re-designed DSA-aware half adder HA-X1 layout. (c) The two metal
lines switch their positions to remove design rule violation. (d) The contacts (orange
boxes) are moved to new locations so they can be patterned using lithography. ..... 42
Figure 5.4 (a) An axis showing that the maximum DSA hole pitch is smaller than the
smallest pitch length for the 14 nm node. The maximum and minimum pitch values
for the BCP are obtained from the design space in Figure 3. (b) Circuit layout of
one-bit half adder. (c) Template design with only 1-hole templates. (d) Template
design with larger templates for 2 or 3 holes. (e) Successful DSA patterning using
design in (c). (f) Failed DSA patterning using design in (d), as extra holes appear in
over-sized templates. Scale bar: 200nm.................................................................... 44
Figure 5.5 14 nm HA-X1 DSA contact hole patterning analysis. (a) Patterned contact
hole size distribution (red) and the fabricated guiding template size distribution
(blue). Contact holes of 14.3 nm size were generated in guiding templates of 51.3
nm size. (b) Overlay accuracy of DSA contact holes in x and y direction, average
deviation: 1.0 nm. ................................................................................................... 45
Figure 5.6 Different choices of the guiding template design for the contact pair shown on
xiii
the left. The first one uses an elongated elliptical template but generates more DSA
holes than desired. The second one uses two circular templates. However, due to
lithography resolution, the small gap in between could not be resolved. Therefore,
the second strategy actually results in a peanut shape. ............................................. 47
Figure 5.7 Design space of DSA pattern in peanut-shaped template. Each data point
represents a specific peanut-shaped template length and connection width
combination that leads to a 2-hole pair. Different point symbols represent different
template lengths. The standard deviation of the hole-pitch is reflected as the error
bar in y-axis............................................................................................................... 47
Figure 5.8 (a) An axis showing that the maximum BCP pitch is close to the minimum
contact pitch for the 11 nm node but smaller than the lithography resolution (~60
nm). Peanut-shaped templates can be designed for the closely positioned contact
pairs. (b) Circuit layout of one-bit half adder given in Figure 5.3b. (c) 11 nm HA-X1
DSA contact hole patterns with layout given in (b). (d) Size distribution of DSA
contact holes. (e&f) Global overlay accuracy of DSA contact holes in x and y
direction, including ~2nm position error of templates brought by e-beam lithography.
The average global overlay accuracy 2.5nm. While some templates merge together
during fabrication process, the self-assembled holes are still separated with
reasonable size and overlay accuracy, showing high tolerance for template defects.
Scale bar: 200 nm...................................................................................................... 48
Figure 5.9
(a) An axis showing that the BCP pitch range matches with the smallest set
of contact pitches for the 7 nm node. Multiple-hole templates can be designed for all
the contact pairs with pitches within the achievable BCP pitch range. (b) Contact
layout. All the contact pairs with 42 nm pitch are labeled with red circles. (c) 2-hole
and 3-hole design space from Fig. 3. From this design space, we can find the
template length necessary to achieve 2-hole and 3-hole patterns with 42 nm pitch are
120 nm and 160 nm, respectively. (d) Template design based on this strategy.
Templates that generate holes with 42 nm pitches are outlined red. ........................ 50
Figure 5.10 (a) DSA-aware HA-X1 (half adder) layout. (b) A similar DSA-aware HA-X1
layout that cannot be implemented by the first strategy due to the difficulty of
printing the three closely packed contacts (in the red circle) separately. With the
xiv
third strategy, the resolution requirement is relaxed and this layout can be patterned.
(c) Contact layout design based on the layout in (a). (d) Contact layout design based
on the layout in (b). (e) 7 nm HA-X1 DSA contact hole patterns with the layout in
(a). (f) 7 nm HA-X1 DSA contact hole patterns with the layout in (b). Scale bar:
200nm. ...................................................................................................................... 51
Figure 5.11 7 nm HA-X1 DSA contact hole patterning analysis. (a) Size distribution of
DSA contact hole patterned with layout in Figure 5.10e. (b) Size distribution of
DSA contact hole patterned with layout in Figure 5.10f. (c) Global overlay accuracy
of DSA contact holes patterned with layout in Figure 5.10e, including ~2nm position
error of templates brought by e-beam lithography. (d) Global overlay accuracy of
DSA contact holes in x and y direction patterned with layout in Figure 5.10f.
Contact holes ~18nm were generated and the average global overlay accuracy
3nm. .......................................................................................................................... 52
Figure 6.1 For the same size of guiding templates, different template density leads to
different DSA results. The template pitch is: (a) 200 nm; (b) 250 nm; (c) 300 nm; (d)
400 nm. When the template pitch increases, the number of DSA defects (the missing
holes) rise significantly. (e) A cross-section cartoon showing the template is not
overfilled with polymer when the template density is high. (f) A cross-section
cartoon showing the template is overfilled with polymer when the template density
is low. ........................................................................................................................ 54
Figure 6.2 Schematic representation of DSA flow as implemented at IMEC. ................. 56
Figure 6.3 The layout of guiding template design. The numbers on the top of the blocks
as well as the crosses and squares on left and right side are SEM alignment marks.57
Figure 6.4 (a)-(c): SEM image of DSA patterns on the same row (see Figure 6.2). The
CD of the templates in these three cases is 66 nm. Template pitch: (a) 150 nm. (b)
200 nm. (c) 250 nm. (d) Zoom out view of (a). (e) Zoom out view of (b). (f) Zoom
out view of (c). .......................................................................................................... 58
Figure 6.5 (a) Low magnitude SEM image of one design block. (b) Binary image
processed from (a). (c) A binary matrix processed from (b). Each white circle in (b)
is treated as a white pixel in (c). (d) The average of 25 binary images retrieved from
the CDU wafer. (e) The average of 25 binary matrixes processed from (d). ........... 59
xv
Figure 6.6 The gray-scale convolved density map using different sigma values (unit: um).
The input layout is the same as shown in Figure 6.3. ............................................... 60
Figure 6.7 (a) Overfill probability map. (b) 8% percentile band of not overfilled templates.
White pixel means that the template at this location has an 8% chance of not
overfilled. (c) 92% percentile band of not overfilled templates. White pixel means
that the template at this location has a 92% chance of not overfilled. (d) The
convolved result before scaling. (e) The convolved result overlapped with 8%
percentile band. The band is colored in red. (f) The convolved result overlapped
with 92% percentile band. The band is colored in blue. (g) The scaling function. The
convolved density value of the red circle is the average convolved density value of
the red band in (e). The convolved density value of the blue circle is the average
convolved density value of the blue band in (f). ....................................................... 61
Figure 6.8 (a) Convolved result before scaling. (b) Scaled convolved result. (c) Overfill
probability map. (d) Scaled convolved results of different sigma values. It is obvious
that sigma = 0.8 matches the best with (c). (e) Sigma-score curve. The definition of
the score is shown above the curve. At the best case, theres ~10% difference
between scaled density and overfill probability. This difference may come from the
statistical fluctuation of thermodynamics as well as the systematic template CD
variation. ................................................................................................................... 62
Figure 6.9 (a) The overfill probability map retrieved from SEM images of 9 cases. (b)
The convolved density map using sigma = 0.7. ........................................................ 63
Figure 6.10 Low-magnitude SEM images. (a) Template CD 70 nm, anneal 1 min. (b)
Template CD 70 nm, anneal 5 min. (a) Template CD 70 nm, anneal 30 min. (a)
Template CD 60 nm, anneal 1 min. (a) Template CD 60 nm, anneal 5 min. (a)
Template CD 60 nm, anneal 30 min. ........................................................................ 64
Figure 6.11 The comparison between experimental results and convolved density map.
Annealing time is 1 minute for the left two columns. Annealing time is 5 minutes for
the middle two columns. Annealing time is 30 minutes for the right two columns. (a)
Experimental results. (b) Convolved density map. ................................................... 65
Figure 6.12 (a) The layout design of test pattern. Small squares are SDRAFs and
rectangles are targeted DSA templates. (b) The cases that the SDRAFs were not
xvi
resolved due to overexposure. (c) The SEM picture of (a), the CD of SDRAFs is 39
nm. (d) The SEM picture of (b). For target templates, the size is 53 nm by 81 nm
(minor and major axis lengths) in both cases............................................................ 66
Figure 6.13 Comparison between DSA performance with SDRAFs and without SDRAFs.
The top row (a) (d) is the case without SDRAFs, the bottom row (e) (h) is the
case with SDRAFs. (a) SEM image of guiding template without SDRAF. The large
ovals are the target DSA templates, with a major axis of 82 nm and a minor axis of
53 nm. (b) The DSA result of (a). 1-hole DSA patterns are generated inside the
target templates. (c) The low magnification image of the block. This provides a
zoom-out view for us to inspect the location of overfilled templates. Note that (b) is
a zoom-in view of the blue box shown in the center. It is also important to note that
there are some templates at the corner of the block that appear missing but is
actually a result of polymers overfilling, rendering the template less visible under
the SEM (see zoom-in view in (d)). (d) A zoom-in view of the blue box shown in the
left top corner of (c). Red circles label the templates that are overfilled due to low
density. (e) SEM image of guiding template with SDRAFs. The small circular ones
are the SDRAFs with a size of 40 nm. The target ovals have a major axis of 81 nm
and a minor axis of 53 nm. (f) The DSA result of (d). 1-hole DSA patterns are
generated inside the target templates while nothing inside the SDRAFs. (g) The low
magnification image of the block. (f) is a zoom-in view of the blue box shown in the
center. (h) A zoom-in view of the blue box shown in the left top corner of (g). No
oval DSA template is overfilled and they all have 1-hole DSA patterns inside. ...... 67
Figure 6.14 An example of failed SDRAFs. (a) SEM image of guiding templates, the CD
of SDRAF is 55.2 nm. (b) SEM image of DSA result. Inside the red boxes are the
1-hole DSA patterns generated inside the SDRAFs. (c) The low magnification SEM
image of the block. .................................................................................................... 68
Figure 6.15 The relationship between SDRAF sizes and OR. The two stars on the left
marked the OR when there is no SDRAF. Blue data points represent the case where
the target ovals have a major axis of 75 nm and a minor axis of 57 nm. Orange data
points represent the case where the target ovals have a major axis of 80 nm and a
minor axis of 60 nm. Note that although the some of SDRAF CDs shown above
xvii
reached below 30 nm, the actually feature sizes on the wafer suffer from large
variation, which explains some of the noises in this trend. ...................................... 69
Figure 6.16 (a) SEM image of guiding templates without SDRAF. The template CD is 74
nm, and the template pitch is 380 nm. (b) SEM image of DSA results in (a). Some of
the templates were overfilled and DSA defects were formed. (c) Low magnitude
SEM image of the entire contact matrix of (b). The OR is higher than 30%. (d) SEM
image of guiding templates with SDRAFs. The template CD and pitch are same as
(a). The SDRAF size is 41 x 137 nm. (e) SEM image of DSA results in (d). No
templates were overfilled. (f) Low magnitude SEM image of the entire contact
matrix of (e). The OR is 0%...................................................................................... 70
Figure 6.17 (a) SEM image of guiding templates without SDRAF. The template CD is 72
nm, and the template pitch is 600 nm. (b) SEM image of DSA results in (a). Some of
the templates were overfilled and DSA defects were formed. (c) Low magnitude
SEM image of the entire contact matrix of (b). The OR is higher than 50%. (d) SEM
image of guiding templates with SDRAFs. The template CD and pitch are same as
(a). The SDRAF size is 38 x 86 nm. (e) SEM image of DSA results in (d). No
templates were overfilled. (f) Low magnitude SEM image of the entire contact
matrix of (e). The OR is 0.03%, means that only two templates are overfilled. ...... 71
Figure 7.1 (a) Three-dimensional self-assembled nanostructures [63]. (b) SEM of 3D
bilayer nanostructures generated by functionalized posts [64]. ................................ 74
xviii
Chapter 1
Introduction
1.1 Context
Semiconductor device scaling has continued over the last few decades to boost the
cost-performance of the integrated circuits [1]. The practical limit of device scaling
depends on the ability to manufacture billions of semiconductor devices reliably,
economically, and with reasonable process tolerances. As the feature size of the devices
has shrunk down significantly, traditional optical lithography now faces increasing
challenges. Optical lithography, which utilizes the ultraviolet light to print the lines and
holes of the integrated circuits, is approaching its resolution limit. One of the most
demanding challenges lithography facing today is that device scaling not only requires
achieving finer features, but also printing them with tight pitches. If the conventional
lithography were unable to print devices that are both smaller and denser, the scaling of
semiconductor devices will hit a hard stop. In order to extend the resolution limits of
lithography and keep up with the requirement of device scaling, researchers are actively
searching for alternative next generation lithography solutions.
In recent years, directed self-assembly (DSA) of block copolymer has become a subject
of strong interest due to its capability to generate different types of highly packed sub-20
nm nanostructures in a periodic fashion [2]. The size and pitch of the self-assembled
nanostructures rely on the block copolymers molecular parameters instead of ultraviolet
light, therefore providing us with a new way to scale devices without hitting the optical
resolution limit. Researchers and companies are actively seeking solutions to apply
self-assembled nanostructures for patterning integrated circuits, and two of the most
popular self-assembled patterns are lamella and cylindrical nanostructures. The
1
1.2 Preview
This dissertation will focus on the application of block copolymer directed self-assembly
(DSA) for patterning contact holes in integrated circuits. We first demonstrated the
flexible control of aperiodic DSA patterns using small physical guiding templates and
introduced the concept of DSA alphabet. Then we showed examples of applying these
aperiodic DSA patterns for patterning the contact holes of memory and random logic
circuits. A general and scalable template design strategy is presented, which links the
technology node requirement to the DSA materials and process. Next, we took a step
further by studying the design rules of DSA and the solution to mitigate DSA defects
brought by the influence of guiding template density.
This thesis is structured as follows. Chapter 2 includes an introduction of block
copolymer directed self-assembly as well as some of other promising next generation
lithography solutions. Chapter 3 presents our directed self-assembly process flow and
explains why we need to use physical guiding templates to generate and control aperiodic
DSA patterns. It will also introduce the new concept of DSA alphabet and explain its
importance. Chapter 4 discusses the computational simulation of DSA process inside
small physical guiding template using Self Consistent Field Theory (SCFT). Chapter 5
develops a general guiding template design strategy and includes examples of DSA
contact hole patterning from 14 nm to 7 nm technology node. Chapter 6 first addresses
the DSA defects brought by the template density influence, and then it presents a
behavioral model based on Gaussian convolution to describe this density influence. This
chapter also provides a solution to reduce DSA defectivity by implementing SDRAF
(Sub-DSA-Resolution Assist Features).
Chapter 2
AB#diblock#
ABA#triblock#
ABC#triblock#
A#
B#
A#
B#
A#
A#
B#
C#
B#
B#
A#
starblock#
B#
Most distinct monomers in block copolymers are immiscible and therefore they are
spontaneously driven by the repulsion between blocks to segregate into a variety of
ordered morphologies [7]. This process is referred to as self-assembly or microphase
separation as a result of minimization of free energy that drives the two polymer blocks
apart from each other, while the covalent bond between them constraints the maximum
separation distance. The repulsive force between the blocks is characterized by the
product N, where is the Flory-Huggins interaction parameter [7], an approximation of
the energy of mixing of the constituent monomers and N is the degree of polymerization
which stands for the total number of monomers in the block copolymer [7]. The feature
size of microphase separation of block copolymers is typically a few tens of nanometers
[8]. The self-assembled block copolymer films will form periodic microdomains such as
cylinders, spheres, and lamellae. The type of microdomains mainly depends on diblock
polymer type and the volume ratio between the two blocks [9, 10] (Figure 2.2).
Figure 2.2 Theory predicted phase diagram for A-b-B diblock copolymers and
morphologies observed as a function of A block volume fraction (fA) of A-b-B diblock
copolymers [10].
Figure 2.3 The process schematics for (a) topographic templates, (b) topographic posts,
(c) chemical templates, and (d) chemical posts. (e)-(h) The SEM images of the BCP
patterns using the corresponding method above [5].
and the price of lithography tool has increased exponentially since 1985 (Figure 2.4).
Because of all these challenges and increasing costs, much attention has been given to
next generation lithographic solutions, including extreme ultraviolet lithography (EUV)
[33], electron beam lithography (e-beam) [34, 35], and multiple patterning lithography
(MPL) [36]. However, each of these solutions has its drawbacks (Table 1). EUV, for
example, could reach much higher resolution than the current 193-immersion lithography
but is overly expensive and suffer from other problems such as limited power source [33].
While one EUV tool costs more than 100 million dollars, the throughput of EUV
lithography is ~50 wafers/hour, only one third of the industry standard today. Compared
with EUV, multiple patterning has satisfying throughput but limited resolution. Though
its cost appears to be lower than EUV lithography, the process complexity required by
*
Source: http://google.brand.edgar-online.com/
Cost%
Throughput%
Resolu/on%
EUV%lithography%
Mul/ple%Pa9erning%
E:beam%direct%write%
Directed%Self:Assembly%
(DSA)%
Table 1. A comparison between different next generation lithographic solutions.
Among all the alternative next generation solutions, directed self-assembly stands out due
to low cost, high throughput and high resolution. The ultimate feature size generated by
directed self-assembly is not limited by ultraviolet wavelength, which provides more
potential at smaller technology nodes.
However, with all the advantages, directed self-assembly (DSA) still faces its own
challenges and therefore not ready for semiconductor manufacturing. The challenges
come in three perspectives: 1. The defectivity of DSA is far from industry standards
today. While Intel reported the DSA defectivity of 1/cm2 in 2015 [37], the defectivity
needs to be lower than 0.01/cm2 to ensure the total yield of semiconductor chips . 2. The
overlay requirement for DSA becomes stricter as technology scales down. 3. Not only the
DSA materials and process could be improved, IC layouts could also be further optimized
to be more DSA-friendly. A design methodology connecting DSA process and layout
design is necessary to enable Electronic Design Automation (EDA).
In summary, directed self-assembly is a promising next generation lithography
technology that provides a cost-effective way to extend the resolution of current optical
10
11
Chapter 3
Flexible Control of DSA Aperiodic
Pattern Using Small Physical Guiding
Template and an Alphabet Approach1
3.1 Introduction
This chapter covers the materials and process flow used in our experiments. The
aperiodic DSA patterns were achieved by using small physical guiding templates and
characterized by the geometric dimension of the guiding templates. Using the aperiodic
1-hole DSA patterns, we for the first time demonstrated the contact hole patterning using
DSA for 22 nm SRAM cell. Then this chapter will introduce the new concept of DSA
alphabet and how this concept could be applied to pattern contact holes using DSA.
2012 John Wiley and Sons. Part of this chapter has been reprinted, with permission, from H. Yi, X.-Y.
Bao, J. Zhang, C. Bencher, L.-W. Chang, X. Chen, R. Tiberio, J. Conway, H. Dai, Y. Chen, S. Mitra and
H.-S. P. Wong, Flexible Control of Block Copolymer Directed Self-Assembly using Small Topographical
Templates: Potential Lithography Solution for Integrated Circuits Contact Hole Patterning, Adv. Mater.,
vol. 24, issue 23, pp. 3107 3114, 2012.
2011 IEEE. Part of this chapter has been reprinted, with permission, from X.-Y. Bao, H. Yi, C. Bencher,
L.-W. Chang, H. Dai, Y. Chen, et al., "SRAM, NAND, DRAM contact hole patterning using block
copolymer directed self-assembly guided by small topographical templates," in Electron Devices Meeting
(IEDM), 2011 IEEE International, pp. 7.7. 1-7.7. 4, 2011.
The work in this chapter has been done in close collaboration with Xinyu Bao, a postdoc at Stanford
University advised by Prof. H.-S. Philip Wong.
12
CH2
CH
CH2
C
C
m
O
O
CH3
Figure
3.1
Chemical
structure
of
(methyl methacrylate)).
13
PS-b-PMMA
(polystyrene-block-poly
PMMA
PS
20 nm
PS
100nm
P MMA
40 nm
(a)
(b)
14
PS-b-PMMA
Dissolved in PGMEA
Spin coating
Si
PS is left as a resist mask
for pattern transfer
Thermal
Annealing
Deep UV radiation
Soaked in
Acetic Acid
PMMA
PS
15
Because the DSA pattern position is determined by position of the guiding templates,
DSA patterns can be almost arbitrarily placed as required. By altering template shapes
and block copolymer film thickness, various types of cylindrical structures are studied
and placed as required in integrated circuits exactly.
To study the use of small guiding templates we conducted a series of experiments. The
small square templates were fabricated using conventional optical lithography and
etching process on 300 mm Si wafer with sizes ranging from 75 nm to 140 nm and depth
around 50 nm. After performing the PS-b-PMMA DSA process, the DSA patterns were
characterized by high-resolution scanning electron microscope (SEM).
It is noteworthy
that the PS-b-PMMA DSA in small templates does not require the homopolymer that was
used to stabilize the self-assembly as predicted by the SCFT simulation [39], nor the
random copolymer coating that was used to neutralize the substrate surface as the
prevailing method does [40]. The results demonstrated that the aperiodic DSA patterns
can be generated using small physical guiding templates and almost arbitrarily placed as
required by circuit fabrication on one wafer.
Figure 3.4 summarizes some of the major IC-layout relevant aperiodic DSA patterns
obtained in small physical guiding templates. Single-hole patterns (Figure 3.4a&b) can be
formed in square templates from 60 to 100 nm. The four-hole patterns with square
symmetry can be formed in the relatively large template size window between 110~130
nm (Figure 3.4c). In rectangular templates (width from 60 to 80 nm, length from 100 to
150 nm), 2-hole and 3-hole patterns can be formed. Besides hole size distribution, we
also study the overlay accuracy of DSA patterns in Figure 3.5. We calculate the average
position of DSA patterns in guiding templates of the same size, and obtain the overlay
accuracy of the self-assembled features in terms of average positional deviation of
self-assembled holes from the average position. It is shown in figure 3.5 that the average
positional deviations for both 2-hole and 3-hole patterns are less than 2 nm, indicating a
high repeatability and accurate placement. For template size larger than 140 nm, the
pattern formation becomes complicated and uncontrollable due to the reduced
confinement effect from the guiding templates and the internal force of the block
16
copolymers becoming dominating. As shown in Figure 3.4, the average DSA pattern size
deviated from the naturally self-assembled PS-b-PMMA (~ 20 nm). The size deviation
and symmetry change suggested both the PS and PMMA polymer chains were stretched
or compressed by the strong lateral confinement during the self-assembly process.
40%
Mean:(15.2(nm
SD:(2.3(nm
e"
Mean0=028.60nm
30% SD0=05.20nm0
(75(nm
Count
20%
20%
0%
5
10
15
20
25
Hole(Size((nm)
g"
10%
0%
15
30
(126(nm
20%
10%
10%
Mean:(30.3(nm
40% SD:(2.1(nm
30%
Count
30%
f"
8
0920nm
Count
d"
c"
b"
a"
20
25
30
35
40
Hole0Size0(nm)
h"
A
B
45
50
0%
20
25
30
35
Hole(Size((nm)
40
A
B
C
j"
30%
20%
70nmx145nm
20%
10%
10%
0%
8
40% Mean:)14.8)nm
SD:)2.4)nm
30%
60nmx110*nm
Count
50% Mean:*15.0*nm
40% SD:**1.8*nm
Count
i"
10
12
14
16
18
Hole*Size*(nm)
20
22
0%
8 10 12 14 16 18 20 22 24
Hole)Size)(nm)
Figure 3.4 SEM images of DSA patterns (after PMMA cylinder removal) confined by
templates with different sizes and the corresponding hole size distribution. a, single hole
in 75nm squares. b, single hole in 82 nm squares. c, 4-hole patterns in 126 nm square
templates. d, hole size distribution of a. e, hole size distribution of b. f, hole size
distribution of c. g, 2-hole patterns in 60 nm 110 nm rectangle templates. h, 3-hole
pattern in 70 nm 145 nm rectangle templates. i, hole size distribution of g. j, hole size
distribution of h. Scale bars: 200 nm.
17
40%
Mean: 39.7nm
Std: 1.9nm
cd
40%
2-hole pattern
20%
10%
40%
AveDev-x=1.1 nm
40%
AveDev-y=1.8
nm
3-hole: A
36
38
39
Hole
-2
-1
0%
Count
-3
37
38
39
40
0%
-3-2 -2
41
42
AveDev-x=1.3 nm
AveDev-y=1.9 nm
3-hole: C
AveDev-y =1.5 nm
2-hole: B
20%
10%
-1-1 00 1 -31 2 -2 23 -1
Centroid Deviation
Deviation (nm)
Centroid
(nm)
40%
AveDev-x=1.1 nm
AveDev-y=1.8 nm
3-hole: A
30%
36
30%
10%
35
20%
10%
-3
0%
34
45
0%
30-3 1-2 2-1 3 0 -3 1 -3-22 -2 3-1-1 00 1 1 2 23
CentroidDeviation
Deviation (nm)
Centroid
(nm)
AveDev-x=1.5 nm
AveDev-y=1.8 nm
3-hole: B
3-3
-2
-1
AveDev-x=1.3 nm
AveDev-y=1.9 nm
3-hole: C
Count
0%
44
AveDev-y=1.9
nmAveDev-y=1.8 nm
AveDev-y=1.8 nm AveDev-y=1.8
nm
40%
AveDev-x =1.1
nmB
3-hole:
C
AveDev-x
=0.9
nmB
3-hole:
3-hole:
3-hole: A
20%
10%
20%
Hole
Pitch (nm)
nmAveDev-x=1.5
nm
AveDev-x=1.5 nm AveDev-x=1.1AveDev-x=1.3
nm
Count
20%
40 41 42 43
40% (nm)
Pitch
AveDev-y30%
=1.3 nm
2-hole: A
30%
Count
37
Count
30%
3-hole pattern
10%
0%
35
30%
Count
Count
30%
Mean: 37.7nm
Std: 1.0nm
20%
10%
0%
-3
-2
-1
-3
-2
-1
-3
-2
-1
Figure 3.5 The pitch and overlay accuracy analysis for 2-hole and 3-hole patterns. a, hole
pitch distribution for the 2-hole pattern. b, hole pitch distribution for the 3-hole pattern. c,
overlay accuracy analysis for upper hole A in the 2-hole pattern. d, overlay accuracy
analysis for lower hole B in the 2-hole pattern. e, overlay accuracy analysis for upper
hole A in the 3-hole pattern. f, overlay accuracy analysis for middle hole B in the 3-hole
pattern. g, overlay accuracy analysis for lower hole C in the 3-hole pattern.
18
19
52
48
A re a !ra tio:!1.5
A re a !ra tio:!2.5
A re a !ra tio:!3.5
A re a !ra tio:!4.5
44
!
D S A -H o le -P itc h -(n m )
56
40
36
2"hole'
32
3"hole'
90
120
150
T em p late-L en g th -(n m )
180
Figure 3.6 2-hole and 3-hole design space for the same BCP film thickness. Each data
point represents one template generating a 2-hole or 3-hole pattern with different
template length and area ratios. Area ratio is the top surface area over the template area; a
larger area ratio means lower template density. As the template length increases, the
distance between holes also increases until the 2-hole patterns turn into 3-hole patterns. It
is shown that if the area ratio is larger, either the hole pitch will be larger for the same
template length or a 3-hole pattern will replace the 2-hole pattern.
20
our patterning strategy for contact holes using block copolymer DSA since SRAM is an
industry-standard ramp-up vehicle for logic process technology development [3]. Figure
3.7a shows a device layout directly derived from the 22 nm 6T-SRAM cell demonstrated
by IBM [41]. In the layout, the contact holes were designed with multiple pitches and
orders, and elongated holes were used to connect the polysilicon gate and the active
source/drain diffusion region (Figure 3.7a, rectangular connections). To improve the
design regularity, the elongated connections can be replaced by two identical square
contact holes with smaller pitch (~45 nm) (Figure 3.7a, indicated with blue circles),
which can hardly be patterned by the current optical lithography. The DSA process needs
to generate hole patterns with pitches and orders for this SRAM design in a single
process step.
Based on the multiple types of patterns achieved using small topographical templates
shown above, we fabricated small guiding templates (shown in Figure 3.7c) according to
the DSA-friendly SRAM layout in Figure 3.7. The templates were patterned on 300 mm
Si wafers by 193 nm immersion lithography with single exposure and etched with
conventional reactive ion etching process. The fabricated templates have an average size
of about 66 nm (analyzed in Figure 3.7e&f). Larger size elliptical templates were
fabricated to generate contact hole pairs for the connection between polysilicon gate and
active source/drain region. Contact holes with average size of ~25 nm with 3 nm standard
deviation were successfully generated after performing the PS-b-PMMA DSA process
(shown in Figure 3.7d). The DSA generated holes were located at the centroids of the
guiding templates with overlay accuracy about 1 nm (Figure 3.7f). The contact hole pairs
21
were generated in the larger elliptical templates with an average pitch of ~30 nm, which
are suitable for making the connections for contacting the polysilicon gate and active
source/drain region. Thus, contact holes for the 22-nm SRAM devices were successfully
patterned using simple PS-b-PMMA DSA process with small templates of 66 nm
patterned by the current 193 nm immersion optical lithography. The critical dimension
limit of the 193 nm immersion optical lithography has been shrunk down by a factor of
two to three. This is the first time the contact hole pattern for an industry-standard circuit
is demonstrated using DSA combined with 193 nm immersion lithography.
200 nm
Active region
Polysilicon gate
Contact hole
e 40%
Mean:
66.4
nm
SD:
6.9
nm
Count
Mean:
24.8
nm
30% SD:
3.0
nm
Connection
50%
40%
Count
30%
20%
20%
10%
200 nm
0%
10%
20 30 40 50 60 70 80
0%
-5 -4 -3 -2 -1 0 1 2 3 4 5
Figure 3.7 Contact hole patterning for 22 nm SRAM cell. a, contact hole layout derived
directly from the IBM 22 nm 6T-SRAM circuit. b, modified layout by replacing the
22
rectangular connections with square holes and one of the modification is highlighted by
blue circles. c, guiding templates fabricated with 193 nm immersion optical lithography.
d, PS-b-PMMA DSA in the guiding templates. e, statistical results for the patterned
contact hole size distribution (blue) and the fabricated guiding template size distribution
(red). f, the centroid deviation of the patterned features from the guiding templates.
23
the alphabet. By positioning these letters in various locations we would be able to pattern
the full chip contact layer in the same way that the 26 letters of the English alphabet is
sufficient to compose an English newspaper. Some of the most basic letters, such as
circular templates for 1-hole DSA patterns and elliptical templates for 2- and 3-hole DSA
patterns, have been studied extensively [42-44]. To establish a complete alphabet, though,
requires the examination of the entire standard cell library, as well as the optimization of
the layout to further reduce the number of letters in the alphabet as discussed in Du et al
[45].
Figure 3.8 (a) Examples of three standard cells [45]. (b) An example of the alphabet set
based on the cells in (a). With the templates in this alphabet set, one can pattern all the
cells in (a) using DSA.
24
Chapter 4
Computational simulation of DSA in
small physical guiding templates1
4.1 Introduction
Realizing the importance of aperiodic DSA patterns and small physical templates, we
decided to enhance our understanding of the DSA process using computational
simulation. The computational simulation not only identifies the factors that influence the
self-assembled patterns but also provides the guidance for the design of guiding templates
for device fabrication. In this chapter we demonstrate the computational simulation of
BCP DSA in guiding templates based on Self-Consistent Field Theory [46] and present a
comparison of these simulation results with our experimental data [42].
In order to simulate the influence of physical guiding templates on DSA process, a
masking method [47] is used to model confinement of cylinder domain forming BCP in a
3D simulation box. Sidewall and substrate each have the w parameters describing the
attractiveness of different polymer blocks to sidewall or substrate. Negative w refers to
the wall material that has a higher affinity for the minority polymer block compared to
the majority block, and vice versa.
2013 Society of Photo Optical Instrumentation Engineers. Part of this chapter has been reprinted, with
permission, from H. Yi, A. Latypov and H.-S. P. Wong, Computational Simulation of Block Copolymer
Directed Self-Assembly in Small Topographical Guiding Templates, SPIE Advanced Lithography, 8680,
86801L, 2013.
The work in this chapter has been done in close collaboration with Azat Latypov at Globalfoundries.
25
We first studied the influence of w parameters on the DSA result. While sidewall is kept
as minority block affinitive, increasing substrate w parameter from negative to positive
changes the minority block cylinders from hanging in the middle to reaching the bottom.
Another study is also carried out for guiding template sizes from 50nm to 90nm and
different polymer film thicknesses, which is also verified and matched well the
experiments. In both experiments and simulations, the formation of a cylinder is observed,
followed by the formation of an isolated minority phase cavity at the center of guiding
template, as the well size increases. It is found that an increase in polymer film thickness
will also lead to the formation of a cylinder or the formation of an isolated minority phase
cavity at the center of the guiding template. In experiments we observed the same
behavior as the spin coating speed is decreased, which leads to an increase in polymer
film thickness. Also, according to the image analysis results from experiments, as the
guiding template size varies from 68 nm to 78 nm, the average DSA hole size stays
around 19 nm. This agrees with simulation results demonstrating that DSA hole size is
18.9 nm when template size is 65 nm, 70 nm and 75 nm.
$1 '''
$ B
' + $$
*) AB N # 2 & # AB + 2 0 AB + 2 # 0 && 2
2
" w A + wB %
1
w wA ,
.
$
' 2 w w B
AB + 2 # 2 &
AB 0
2 .C V lnQ[wA , wB ],
(4.1.1)
The diblock BCP described by this Hamiltonian has a degree of polymerization N and
an unperturbed radius of gyration R g . This Hamiltonian is a functional of unknown
26
described [47,
48]
by
applying
quadratic
penalty
term
27
In the
4.3.1 Parameters
We simulated directed self-assembly of cylinder-forming PS-b-PMMA block
copolymers, the volume fraction of majority phase is set to f = 0.7 to match the
experiments described in chapter 3. The degree of polymerization was set to N = 653 and
unperturbed radius of gyration was set to Rg = 10 nm. The Flory Huggins parameter of
diblock copolymer was chosen as AB N=23.9 . These parameters correspond to a
PS-b-PMMA diblock copolymer with molecular weight around 46.1 21kg/mol. The
lateral spatial grid size in x and y direction was chosen to be 0.25 Rg, while in normal z
direction we chose a smaller grid size as 0.1Rg to attain sufficient resolution of
cross-section morphology.
28
and PMMA phase differently. Sidewall and substrate each has a wall w factor that
depicts the attractiveness of different polymer blocks to sidewall or substrate. Negative
wall w refers to the material that has stronger affinity to a minority polymer block,
compared to its affinity to a majority block, which in our case corresponds to PS-wetting
materials. Air has no interaction with block polymers but acts as a physical confinement
and defines the thickness of polymer film on top of the sidewall (Figure 4.1). These three
density functions vanish inside the physical domain and rise smoothly away from the
domain boundaries. This method is highly adaptive to different confinement wall
geometries and the topography of block copolymer film.
Top view
1
Phase B
air
0.8
Phase A
0.6
sidewall
substrate
Phase B
sidewall
Phase A
Diblock Copolymer
substrate
0.4
0.2
0
Figure 4.1 (a) SCFT Simulation domain includes sidewall, substrate of the guiding
template, block copolymer and air. (b) Top view and the cross section view of the density
profile (Phase A volume fraction).
29
inside the guiding template can reach down to the guiding template substrate. However,
when sidewall and substrate are both minority block affinitive (i.e. the same material), the
minority block cylinder becomes disconnected from the substrate by the majority block
region.
a"
sub
wall
-25
-20
-15
-10
-5
10
15
20
25
0
-5
-10
-15
-20
-25
b"
sub
wall
-25
-20
-15
-10
-5
10
15
20
25
0
-5
-10
-15
-20
-25
Figure 4.2 Majority phase colormap plots of DSA (a) cross-section (b) top-view in an
808040 nm guiding template for various values of the wall and substrate affinity
parameters, wallN and subN. wallN is varied from 0 to -25 and subN is varied from -25 to
25. Negative N refers to the material that has higher affinity to the minority polymer
phase than majority phase. The majority phase is shown in red while the minority phase,
substrate, sidewall and air are all blue. The green dot line separates the region where
30
minority phase forms a hanging cylinder and the region that it forms a cylinder down to
the bottom.
31
45nm
57nm
61nm
68nm
73nm
78nm
83nm
88nm
93nm
2500rpm
2000rpm
1500rpm
1000rpm
Figure 4.3 (a) SEM images of DSA patterns confined by templates with different sizes
from 45 nm to 93 nm. Each number represents the CD of the guiding template in the
image below. (b) SEM images of DSA patterns with different polymer film thickness.
The CD of these guiding templates is 80 nm. The number/rpm represents the spin speed
of block copolymer solution in the image below.
32
a"
Thickness
CDwall
10
12
14
16
18
20
10
12
14
16
18
20
50
55
60
65
70
75
80
85
90
b"
Thickness
CDwall
50
55
60
65
70
75
80
85
90
Figure 4.4 Parametric study of DSA (a) cross-section (b) top-view in a 50 nm deep square
guiding template for various values of the wall size and polymer film thickness, which is
defined as the distance between the bottom of air and top of sidewall. In this study wallN
33
and subN are both -25. The majority phase is shown in red while the minority phase,
substrate, sidewall and air are all blue. The green dot line separates the region where
minority phase forms a hanging cylinder and the region that forms an isolated minority
phase cavity in the center of guiding template.
4.5 Summary
We studied DSA of compressible diblock copolymer melt inside small physical guiding
template using Self-Consistent Field Theory (SCFT) computational simulations. In this
chapter, we focused on the 1-hole DSA pattern due to its potential for via/contact hole
patterning in integrated circuits. We first explored the influence of guiding template
wall/substrate affinity parameters on the cross-section of DSA pattern. A connection
between the minority phase cylinder and the substrate is observed when the substrate is
changed from minority phase affinitive to neutral.
influence of guiding template sizes and polymer film thickness on DSA graphoepitaxy.
When guiding template size or polymer film thickness increases, a transition of the DSA
pattern from a blurred dark spot to a clear 1-hole pattern occurs. If the size or film
thickness continues to increase, a large dark region or multiple holes appears inside the
guiding template. The experimental SEM results are compared with the results of
simulations obtained from the computational SCFT model of DSA.
34
Chapter 5
A General Strategy of Directed
Self-Assembly (DSA) Guiding
Template Design for Contact Hole
Patterning4
5.1 Introduction
In chapter 3, we discussed using physical guiding templates to generate and control
aperiodic DSA patterns and introduced the concept of DSA alphabet for contact
patterning. For the first time we demonstrated DSA contact patterning for 22 nm SRAM
cell. However we realize that patterning for random logic circuits is more challenging
because contacts are placed more randomly than memory circuits. Although the small
guiding templates are shown to guide the self-assembly off the natural geometry by
strong boundary confinement, it is insufficient to simply surround contact holes with
guiding templates without optimizing the placement and geometry of the guiding
templates.
As the first step towards implementing the alphabet approach, a systematic design
2015 American Chemical Society. Part of this chapter has been reprinted, with permission, from H. Yi,
X.-Y. Bao, R. Tiberio, and H.-S. P. Wong, A General Design Strategy for Block Copolymer Directed
Self-Assembly Patterning Integrated Circuits Contact Holes using an Alphabet Approach, Nano Letters,
15 (2), pp 805812, 2015.
35
strategy is necessary to translate the placement of contacts into template designs. This
design strategy must not only be applicable to one technology generation, but also must
be generally applicable to future technology generations. To develop such a design
strategy, we need to simultaneously consider the material properties of the block
copolymer as well as the size and overlay accuracy requirements for the target
technology nodes.
In this chapter, we describe a general template design strategy that links the DSA
material properties to the target technology node requirements. The design strategy falls
into three categories: 1) use of 1-hole template for every contact, 2) use of peanut-shaped
template for adjacent contact pairs, and 3) use of multiple-hole templates (e.g. 3-hole
elliptical templates or 4-hole square template) for adjacent contact groups. Note that the
peanut-shaped templates are used because they can extend the DSA hole pitch beyond its
natural limits and are easier to print than two separate closely spaced 1-hole templates.
To demonstrate DSA contact hole patterning using each category of the strategies
mentioned above, we use the same block copolymer (PS-b-PMMA) to pattern the same
logic cell (1-bit Half Adder) in three technology nodes: 14 nm, 11 nm, and 7 nm [50, 51].
36
consists only of unidirectional lines and contact holes positioned at pre-determined grid
points [52, 53]. The bends in the previous bidirectional layouts are implemented by
orthogonal lines on separate layers connected by contacts. The irregularity of the contact
distribution is greatly reduced by aligning the contacts to regular grid points. While
unidirectional IC design is not a must for DSA, the use of unidirectional layout design
could greatly improve the throughput and yield for DSA contact patterning. Because the
adoption of a unidirectional IC design would fix the pitch between adjacent contacts to a
set value determined only by the poly-gate pitch and the metal-line pitch (Figure 5.1).
The three smallest pitches between contacts in different technology nodes are listed in
Table 2 [30, 54]. As printing the closely but irregularly positioned contact groups is one
of the most challenging problems for contact/via printing, it is preferable to limit the
possible distance between contacts to a few fixed numbers. In this way, the guiding
template design only needs to target a few fixed contact pitches and combinations, thus
cutting down the types of templates required to cover all the contact configurations in a
full chip layer. In other words, the size of the alphabet is reduced. A smaller alphabet
could lead to simplified template design, improved template printing quality, and higher
yield for DSA itself [45]. The benefit for adopting DSA for contact patterning is
maximized when the contact pitch matches the natural geometry of the block copolymer
DSA pattern, especially in the scenario that multiple DSA holes are generated inside one
template [43].
37
Figure 5.1 (a) Example of a cell layout designed in unidirectional style [55]. All lines are
designed with the same width and pitch, and the contact holes are positioned only at
pre-determined grid points. The lines of poly-gate (purple) are perpendicular. Some
closely positioned contacts are marked with red circles. (b) Four smallest contact pitches
in (a), which correspond to the contact pairs in red circles except for the first pair.
Node (nm)
20
14
11
10
X-Pitch (PX)
90
82
64
58
46
40
Y-Pitch (PY)
70
64
50
44
36
32
83
76
59
53
43
38
114
104
81
73
58
51
2.0
8.0
1.4
5.1
1.1
3.6
1.0
3.2
0.7
2.3
0.5
1.4
CD control (3)
Overlay (3)
Table 2. Pitch length between adjacent contacts versus technology nodes [30].
38
copolymer DSA. If the contact pitch is somewhere close to the natural pitch of the block
copolymer DSA pattern, then multiple-hole templates corresponding to various letters in
the alphabet may be adopted to pattern a group of closely positioned contacts. By varying
the size and the shape of templates, the DSA hole pitch can be adjusted within a certain
range of the natural block copolymer pitch to match contact pitch in the layouts. The
relation between the DSA hole pitch and the size and shape of the templates can be
considered as constituting the DSA design space for the block copolymer self-assembly
into cylindrical patterns. As we discussed in chapter 3, DSA design space provides us
with the limits of DSA hole pitch for a specific guiding template type. From Figure 3.6,
we observe that the pitch of a 2-hole DSA pattern must be between 36 and 50 nm, while
the pitch of a 3-hole DSA pattern cannot exceed 48 nm. Therefore, when the pitch of a
contact pair is larger than 50 nm, it becomes impossible to use 2-hole DSA pattern inside
an elliptical templates to pattern them. On the other hand, a contact pair of pitch 40 nm
could be patterned by 2-hole DSA pattern. For a full-chip design, analogous design
spaces for the other letters in the alphabet must be established as well.
39
already patterned on the wafers, is one of the factors most strongly impacting the DSA
pattern quality. As the dimensions of ICs are scaled aggressively, the budget for overlay
is shrinking quickly as well.
circuits are 5.1 nm and 3.6 nm, respectively [30]. Therefore, accommodating the overlay
accuracy of DSA patterns within the overall overlay budget is of paramount importance
for implementing DSA for next generation lithography.
Contact layout
BCP
Max
pitch
Contact
Lithography Min
Resolution
pitch
1st strategy:
1-hole templates
for each contact
BCP
Max
pitch
Contact
Lithography
Min
Resolution
pitch
2nd strategy:
Peanut-shaped templates for
closely positioned contacts
Contact
Min
pitch
BCP
Max
pitch
Lithography
Resolution
3rd strategy:
Multiple-hole templates for
closely positioned contacts
Figure 5.2 Demonstration of the three strategies described above. From left to right: (1)
the minimum contact pitch is larger than the resolution of conventional optical
lithography. (2) The minimum contact pitch is larger than the maximum DSA hole pitch
but smaller than the resolution of conventional optical lithography. (3) The minimum
contact pitch falls within the range of DSA hole pitch.
The overlay accuracy of DSA consists of two parts: the intrinsic position error of the
DSA pattern and the pattern fidelity of the guiding template. The intrinsic position error
of DSA refers to the variation of DSA patterns inside an ideal guiding template and
depends chiefly on the chemical properties of the block copolymer, which will be
40
investigated in the future. The pattern fidelity of the guiding template refers to the
position error of the DSA pattern brought about by a non-ideal template, which may shift
the position of the DSA pattern with respect to the designed location. For instance, there
is a size offset between non-ideal templates and ideal targets caused by the process
variations of conventional lithography, such as focus and dose variations, mask alignment
errors, and various lens aberrations. Since the DSA pattern is sensitive to the shape and
size of the guiding template, such lithography process variations lead to size variations in
the guiding templates and ultimately to nontrivial position error in DSA patterns. In this
paper, we use e-beam lithography to fabricate the guiding templates as an efficient
method for the purpose of concept demonstration. But we must also note that the process
variations of optical/EUV lithography can hardly be represented by e-beam lithography,
and their impact on DSA patterns cannot be ignored. Researchers from IBM and ASML
have addressed studies combining computational lithography and DSA [56-58] and the
results show that conventional lithography is capable of printing the DSA guiding
templates with acceptable errors.
41
printed. To enable patterning of the guiding templates, the positions of two metal lines
are switched while maintaining the same circuit function and the same cell area as the
original layout. Thus layout optimization must ensure that contact holes can be patterned
by DSA and guiding templates are printable by conventional optical lithography (shown
in Figure 5.3d). Overall, we re-designed a total of 85 logic cells layouts (including
inverters, buffers, NAND, NOR, AOI, OAI, adders, and flip-flops) from the above cell
library into DSA-aware layouts. No area penalty is observed for any of these cells and the
full-chip VLSI (physical) design flow is largely unchanged, because all necessary
changes occur at the library cell level.
Metal&1
Poly
Ac-ve&Region
Contact
Switch(
Lines(
d
Design(Rule(
viola5on(
removal(
Figure 5.3 (a) Conventional half adder HA-X1 layout from Nangate 45 nm Open Cell
Library. (b) Re-designed DSA-aware half adder HA-X1 layout. (c) The two metal lines
switch their positions to remove design rule violation. (d) The contacts (orange boxes) are
moved to new locations so they can be patterned using lithography.
42
43
Figure 5.4 (a) An axis showing that the maximum DSA hole pitch is smaller than the
smallest pitch length for the 14 nm node. The maximum and minimum pitch values for
the BCP are obtained from the design space in Figure 3. (b) Circuit layout of one-bit half
adder. (c) Template design with only 1-hole templates. (d) Template design with larger
templates for 2 or 3 holes. (e) Successful DSA patterning using design in (c). (f) Failed
DSA patterning using design in (d), as extra holes appear in over-sized templates. Scale
bar: 200nm.
44
50%
20%
10%
0%
12
13
14
15
16
17
18
HHole)size)(nm))
o le1S iz! e1(n m )
20%
10%
@4
@3
@2
@1
10%
46
48
50
52
54
56
58
60
62
64
40%
30%
0%
20%
TTemplate)size)(nm))
em p late1S iz e1(n m )
b))))
30%
0%
19
Mean :151.3n m
S td :12.6n m
40%
Count)Frequency)
C ount
Mean :114.3n m
S td :11.7n m
30%
a))))
b) 40%
Count)Frequency)
C ount
40%
Count)Frequency)
C ount
Count)Frequency)
C ount
a)
20%
10%
0%
@4
@3
@2
@1
CCentroid)Devia-on)(nm))
en tro id 1D eviatio n 1(n m )
CCentroid)Devia-on)(nm))
en tro id 1D eviatio n 1(n m )
Figure 5.5 14 nm HA-X1 DSA contact hole patterning analysis. (a) Patterned contact
hole size distribution (red) and the fabricated guiding template size distribution (blue).
Contact holes of 14.3 nm size were generated in guiding templates of 51.3 nm size. (b)
Overlay accuracy of DSA contact holes in x and y direction, average deviation: 1.0 nm.
The 1-hole DSA patterns are not only useful for patterning circuits at 14 nm node, but
also can be a solution for printing isolated contacts for even smaller technology nodes. As
there always exists isolated contacts in most circuits regardless of technology nodes,
single DSA contacts will always be applicable. The 1-hole DSA pattern have the benefits
of shrinking the guiding template size from a larger printed pattern, improving contact
CD uniformity (CDU), as well as maintaining and satisfying overlay accuracy [42, 60].
While it is very challenging but still possible to print 20 nm contacts using conventional
lithography at present, as the technology feature size scales down further it would
become extremely difficult to pattern contacts much smaller. By that time, it would be
crucial to have the capability to shrink contact sizes from a template that can be printed
by optical lithography. This is the main application of 1-hole DSA patterns.
45
46
Guiding'template'design'
64'nm'
DSA'result'
X"
'
''4'nm'
Contact'layout'
'
a!
64'nm'
Figure 5.6 Different choices of the guiding template design for the contact pair shown on
the left. The first one uses an elongated elliptical template but generates more DSA holes
than desired. The second one uses two circular templates. However, due to lithography
resolution, the small gap in between could not be resolved. Therefore, the second strategy
actually results in a peanut shape.
90
135n m
140n m
145n m
150n m
80
75
70
connection
width
65
Template
width
60
55
50
D S A H o le P itc h (n m )
85
Template length
20
30
40
50
60
70
C o n n ec tio n
Wid th
(n m )
Figure 5.7 Design space of DSA pattern in peanut-shaped template. Each data point
represents a specific peanut-shaped template length and connection width combination
that leads to a 2-hole pair. Different point symbols represent different template lengths.
The standard deviation of the hole-pitch is reflected as the error bar in y-axis.
47
20%
10%
0%
16
18
20
22
H o le.S iz e.(n m )
Hole&Size&(nm)&
24
26
f
30%
20%
10%
0%
!4
!2
Global&Overlay&Accuracy&(nm)&
Count&Frequency&
C o u n t/F re q u e n c y
40%
Count&Frequency&
C o u n t,F re q u e n c y
Count&Frequency&
C o u n t.F re q u e n c y
50%
40%
30%
20%
10%
0%
!9
!6
!3
Figure 5.8 (a) An axis showing that the maximum BCP pitch is close to the minimum
contact pitch for the 11 nm node but smaller than the lithography resolution (~60 nm).
Peanut-shaped templates can be designed for the closely positioned contact pairs. (b)
Circuit layout of one-bit half adder given in Figure 5.3b. (c) 11 nm HA-X1 DSA contact
hole patterns with layout given in (b). (d) Size distribution of DSA contact holes. (e&f)
Global overlay accuracy of DSA contact holes in x and y direction, including ~2nm
position error of templates brought by e-beam lithography. The average global overlay
accuracy 2.5nm. While some templates merge together during fabrication process, the
self-assembled holes are still separated with reasonable size and overlay accuracy,
showing high tolerance for template defects. Scale bar: 200 nm.
48
For the third type of result, namely the minimum contact pitch falls within the range of
DSA hole pitch, one can use multiple-hole templates for generating multiple holes. In this
scenario, the pitch of the DSA holes must match the contact pitch in the layout to avoid
positional errors. To produce the targeted hole pitch, the geometry of the templates are
determined from the corresponding DSA design space. An example of using this strategy
to pattern a 7 nm node one-bit half adder is shown in Figure 5.9. First, the contact pitch is
obtained from the targeted technology node. The appropriate template size and shape can
then be found in the DSA design space (Figure 5.9c) using the targeted contact pitch. The
advantage of this strategy is that the resolution requirement for lithography is relaxed,
because the templates can merge as long as their dimensions and shapes can be controlled.
Indeed, the stringent resolution requirement for the first design strategy necessitates that
we switch the position of two metal lines in the original half adder layout to prevent the
templates from merging due to the lithography resolution limit (see chapter 5.4.1). When
the third design strategy is adopted for 7 nm node, the resolution requirement for the
template is relaxed and both the original and modified half adder layouts can be patterned
(Figure 5.10).
The global overlay accuracy in Figure 5.10e and 4.10f are both 2.5 nm
49
[+33!
BCP+
+(Px/2)2+++PY2+ PX! Max+pitch! +Px2+++PY2+
42!
36!
Pitch:+42nm+
46!
56
52
48
Figure 5.9
58!
A rea.ratio :.1.5
A rea.ratio :.2.5
A rea.ratio :.3.5
A rea.ratio :.4.5
44
40
36
32
Length:+
120nm+
Length:++160nm+++++++++120nm+
50+]!
BCP+
Min+pitch! PY!
D S A .H o le .P itc h .(n m )
90
120
150
180
T em p late.L en g th .(n m )
29hole+
Pitch:+42nm+
Length:120nm+
39hole+
Pitch:+42nm+
Length:+160nm+
(a) An axis showing that the BCP pitch range matches with the smallest set
of contact pitches for the 7 nm node. Multiple-hole templates can be designed for all the
contact pairs with pitches within the achievable BCP pitch range. (b) Contact layout. All
the contact pairs with 42 nm pitch are labeled with red circles. (c) 2-hole and 3-hole
design space from Fig. 3. From this design space, we can find the template length
necessary to achieve 2-hole and 3-hole patterns with 42 nm pitch are 120 nm and 160 nm,
respectively. (d) Template design based on this strategy. Templates that generate holes
with 42 nm pitches are outlined red.
50
Figure 5.10 (a) DSA-aware HA-X1 (half adder) layout. (b) A similar DSA-aware HA-X1
layout that cannot be implemented by the first strategy due to the difficulty of printing the
three closely packed contacts (in the red circle) separately. With the third strategy, the
resolution requirement is relaxed and this layout can be patterned. (c) Contact layout
design based on the layout in (a). (d) Contact layout design based on the layout in (b). (e)
7 nm HA-X1 DSA contact hole patterns with the layout in (a). (f) 7 nm HA-X1 DSA
contact hole patterns with the layout in (b). Scale bar: 200nm.
51
50%
40%
30%
20%
10%
20
H o le/S iz e/(n m )
Hole&size&(nm)&
22
20%
10%
d 60%
C6
C3
30%
20%
10%
C6
C3
50%
20
22
C9
C6
C3
40%
30%
20%
10%
0%
18
10%
60%
40%
16
H o le/S iz e/(n m )
Hole&size&(nm)&
20%
0%
14
30%
C9
10%
40%
50%
0%
C12
20%
50%
30%
0%
24
30%
0%
CCount&Frequency&
o u n t/F re q u e n c y
18
CCount&Frequency&
o u n t/F re q u e n c y
Count&Frequency&
C o u n t/F re q u e n c y
40%
16
CCount&Frequency&
o u n t/F re q u e n c y
0%
Count&Frequency&
C o u n t/F re q u e n c y
a
Count&Frequency&
C o u n t/F re q u e n c y
C10
C5
10
Global&Overlay&Accuracy&(nm)&
Figure 5.11 7 nm HA-X1 DSA contact hole patterning analysis. (a) Size distribution of
DSA contact hole patterned with layout in Figure 5.10e. (b) Size distribution of DSA
contact hole patterned with layout in Figure 5.10f. (c) Global overlay accuracy of DSA
contact holes patterned with layout in Figure 5.10e, including ~2nm position error of
templates brought by e-beam lithography. (d) Global overlay accuracy of DSA contact
holes in x and y direction patterned with layout in Figure 5.10f. Contact holes ~18 nm
were generated and the average global overlay accuracy 3 nm.
52
Chapter 6
DSA Interaction Range and Sub DSA
resolution Assist Features (SDRAF)5
6.1 Template Density Influence on DSA patterns
In the previous chapters, we have demonstrated and explored the flexible control of DSA
patterns through varying the geometric parameters of the guiding templates. However,
the formation of DSA patterns is also strongly influenced by the template density, which
has not been studied in a systematic and quantitative manner. The template density
influence could cause different DSA outcome and lead to increased DSA defectivity.
An example of template density influence is shown in Figure 6.1. This density influence
exists due to the fact that different template densities lead to different film thicknesses
during the spin coating process, and thereby influencing the DSA outcome (Figure
6.1e&f). Spin coating process is extensively used to dispense and coat BCP materials on
the planar surface, because it is capable of achieving highly uniform thin polymer film on
the flat surface. However it is important to note that with spin coating process, the coated
2015 Society of Photo Optical Instrumentation Engineers. Part of this chapter has been reprinted, with
permission, from H. Yi, J. Bekaert, R. Gronheid, G. Fenger, K. Nafus, H.-S. P. Wong, Study of DSA
Interaction Range using Gaussian Convolution, SPIE Advanced Lithography, 9423, 94232A, 2015.
2015 Society of Photo Optical Instrumentation Engineers. Part of this chapter has been reprinted, with
permission, from H. Yi, J. Bekaert, R. Gronheid, G. Vandenberghe, K. Nafus, H.-S. P. Wong,
Experimental Study of Sub DSA resolution Assist Features (SDRAF), SPIE Advanced Lithography, 9423,
94231F, 2015.
The work in this chapter has been done in close collaboration with IMEC.
53
film thickness on the patterned area is very different from the non-patterned flat area.
Furthermore, the density of the pattern will strongly influence the local film thickness.
Compared with the high pattern density region, lower pattern density region will end up
with a thicker coat of polymer film. This condition is described as overfilled (Figure
6.1f). The local polymer film thickness is a key factor of the DSA process, as the polymer
volume inside the guiding templates determines whether useful DSA patterns or defects
will form [42].
200#nm#
Polymer#not#overlled#
200#nm#
200#nm#
200#nm#
Polymer#overlled#
Template#
Template#
Figure 6.1 For the same size of guiding templates, different template density leads to
different DSA results. The template pitch is: (a) 200 nm; (b) 250 nm; (c) 300 nm; (d) 400
nm. When the template pitch increases, the number of DSA defects (the missing holes)
rise significantly. (e) A cross-section cartoon showing the template is not overfilled with
polymer when the template density is high. (f) A cross-section cartoon showing the
template is overfilled with polymer when the template density is low.
Realizing the fact that the contact density in integrated circuits could hardly be uniform
across the entire chip, it is critical to determine which region in the layouts may end up
with defects brought by the density influence. To characterize this density influence we
introduce the concept of DSA Interaction Range (DSAIR). DSAIR is akin to the concept
of interaction range in optical lithography, describing a certain range that template
density starts to influence DSA patterns. It is not fixed for a given block copolymer and is
also process-related.
54
There are several challenges in terms of systematically studying the density influence on
patterned area and finding DSAIR. It would be ideal to have a theoretical physics-based
model to predict the local film thickness variation brought by the templates. However,
this model must consider the fluid mechanics on nano-scale features as well as the
solvent evaporation process during the thermal annealing, which are overly complex and
difficult to model. In practice, a direct measurement of the film thickness through
cross-section SEM or TEM is more applicable, though the throughput is relatively low
and obtaining a cross-section is destructive. To quantify the DSAIR requires a huge
amount of data in order to be able to map the local film thickness with all kinds of
template density. Therefore, it is strongly desirable to create a behavioral model to
describe the influence of pattern density on DSA pattern formation. The model does not
need to account for all the detailed physics involved in the spin coating and annealing
process, but it should be able to determine whether the distribution of the contact density
will play a negative effect on the DSA pattern formation for a given DSA process.
In this chapter, we adopt a Gaussian Convolution model to simulate the density influence.
This model could be used to predict the location in the circuit layout where the overfilled
condition would happen due to low contact density. The degree of the overfilled
condition is correlated with the sigma value in the Gaussian function, which we use to
represent DSAIR. This convolution model would provide an important input to the DSA
models for predicting density influence for a given circuit layout. Then we
experimentally demonstrate an effective solution to mitigate the template density
influence and reduce DSA defectivity using sub-DSA resolution Assist Features
(SDRAF). These SDRAFs are small templates that cannot generate any transferrable
DSA patterns and they serve as reservoirs to the polymer to reduce the overfilled
conditions brought by the low contact density. SDRAFs of various sizes and designs are
demonstrated and proven to be highly effective.
55
All the experimental data shown in this chapter were retrieved in IMEC using IMEC
DSA flow [22] and therefore it is different with the flow described in chapter 3. Figure
6.2 demonstrates the IMEC DSA flow. The guiding template substrate is made of silicon
and the sidewall is made of 100 nm spin-on carbon (SOC) and 30 nm spin-on glass
(SOG). We use PS-b-PMMA block copolymer provided by AZ Electronic Materials
(AZEMBLYTM PME585). This block copolymer has a natural period of 37.1 nm.
Dry%etch%
85 nm NTD resist
30 nm SOG
100 nm SOC
Substrate
193i%litho%
Coat%BCP%
and%anneal%
Remove%PMMA%
56
consists of a matrix of templates designed with the same size and pitch. All the blocks on
the same row share the same template size, while all the blocks on the same column share
the same template pitch. Therefore each block has a unique template size/pitch
combination, making it convenient to observe using SEM imaging the influence of
template density as well as the influence of template size on the DSA pattern.
Design
CD
pitch
Mask design
Figure 6.3 The layout of guiding template design. The numbers on the top of the blocks
as well as the crosses and squares on left and right side are SEM alignment marks.
A typical trend of our experimental observations is shown in Figure 6.4. It shows DSA
results with the same template CD but different template pitch. As the pitch is increased
from 150 nm to 250 nm, the number of overfilled templates increases dramatically,
resulting higher defectivity. It is observed that the overfilled templates appear mostly at
the corners and edges of our design block, which create the illusion that the templates
have disappeared from a zoom-out view (Figure 6.3e&f), while the not-overfilled
templates have much higher contrast around the template edge. The distribution of the
overfilled templates is caused by the fact that the density at the block corner is much
lower than the density in the center. A lower template density leads to more reflow into
the templates and tends to make them overfilled. It is important to note that although
overfilled templates would result in DSA defects, not-overfilled templates do not
guarantee a non-defect condition. Whether a useful 1-hole DSA pattern will form also
57
depends on other factors such as the size of the templates. If the size of the template is
too small or too large, no valid 1-hole pattern will form even if it is not overfilled.
Realizing the fact that the overfilled and not-overfilled templates have an obvious
contrast difference in the zoom-out view, we consider each template as a binary pixel (0
is overfilled) and transform the low-magnitude SEM images into binary matrix (Figure
6.5). And through these binary images we observed there exists a certain similarity
between the distribution of the not-overfilled templates and the Gaussian convolution of
the template block.
c
150$nm$
f$
250$nm$
200$nm$
2$um$
2$um$
2$um$
Figure 6.4 (a)-(c): SEM image of DSA patterns on the same row (see Figure 6.2). The
CD of the templates in these three cases is 66 nm. Template pitch: (a) 150 nm. (b) 200
nm. (c) 250 nm. (d) Zoom out view of (a). (e) Zoom out view of (b). (f) Zoom out view
of (c).
58
a"
c"
Figure 6.5 (a) Low magnitude SEM image of one design block. (b) Binary image
processed from (a). (c) A binary matrix processed from (b). Each white circle in (b) is
treated as a white pixel in (c). (d) The average of 25 binary images retrieved from the
CDU wafer. (e) The average of 25 binary matrixes processed from (d).
59
Sigma&=&0.2&
Sigma&=&0.6&
Sigma&=&1&
Sigma&=&1.6&
Sigma&=&2&
Figure 6.6 The gray-scale convolved density map using different sigma values (unit: um).
The input layout is the same as shown in Figure 6.3.
The sigma value directly determines the contour of the convolved density map and
provides an estimate of the number of overfilled templates. Also, this sigma should be
entirely dependent on the DSA process and is independent of the input test layout pattern.
Therefore, finding the correct sigma is critical in order to establish the Gaussian
convolution model. Take the block shown in Figure 6.5 as an example: the simplest way
to find the sigma is that for each sigma value we establish a score measuring the degree
of similarity between the experiment (Figure 6.5c) and the convolved results, and then we
choose the sigma which gives the highest score among all. However in order to do so we
need to overcome two problems: 1) All the convolved results are continuous while the
Figure 6.5c is binary and noisy; 2) The value of the density map is scaled between 0 and
1, while there are blocks whose densities are below 1 but not overfilled at all and blocks
whose densities are above 0 but entirely overfilled.
Averaging several SEM images of the same situation together could solve the first
problem. We experimented with a 300 mm CDU wafer and chose 25 dies with the same
template CD. They shared the same distribution of overfilled templates and suffered from
the similar noise pattern. The averaged result is no longer a binary matrix but a gray-scale
overfill probability map (Figure 6.5d&e). A pixel of intensity 0.04 means that the
template at this location is not overfilled in 1 out of 25 cases. Using this method we can
significantly reduce the noises inside the block and achieve higher model prediction
accuracy.
60
To solve the second problem we need to realize that the convolved density map needs to
be appropriately scaled in order to match the overfill probability map and there are two
related thresholds. When the template density is above the upper threshold, the template
wont become overfilled. On the other hand, if the template density is below the lower
threshold, the template will definitely become overfilled. And if the template density falls
between these two thresholds, this template has a certain probability of becoming
overfilled. We assume there is a linear relationship between the convolved density value
and the overfilled probability. Figure 6.7 describes the procedures to find these two
thresholds and the corresponding scaling function. As it would be difficult to directly
extract the thresholds from the convolved result, we choose the 8% and 92% percentile
band and use linear regression to determine the threshold (Figure 6.7b&c). We extracted
the convolved density value of these two levels by overlapping the 8% and 92%
percentile band with the before-scaled convolved result (Figure 6.7e&f).
Probability(of(not(overlled(
(a)(
(b)(
(g)(
(c)(
1(
92%(
(d)(
(e)(
(f)(
8%(
0(
Convolved(density(value(
Figure 6.7 (a) Overfill probability map. (b) 8% percentile band of not overfilled
templates. White pixel means that the template at this location has an 8% chance of not
overfilled. (c) 92% percentile band of not overfilled templates. White pixel means that
the template at this location has a 92% chance of not overfilled. (d) The convolved result
before scaling. (e) The convolved result overlapped with 8% percentile band. The band is
colored in red. (f) The convolved result overlapped with 92% percentile band. The band
is colored in blue. (g) The scaling function. The convolved density value of the red circle
61
is the average convolved density value of the red band in (e). The convolved density
value of the blue circle is the average convolved density value of the blue band in (f).
After we have determined the scaling function, we scale the convolved results of different
sigma values and calculate the score by measuring the similarity between the scaled
results and the overfill probability map (Figure 6.8). The score equation is shown in
Figure 6.8e, with lower score indicating a better match. From Figure 6.8e we observed
that sigma of 0.7 resulted in the best match. Therefore, we found the optimal sigma.
Figure 6.9b shows another 9 cases (including the one in Figure 6.8) that also chose sigma
as 0.7, and they all matched well with the experimental results (Figure 6.9a).
1(b)
(a)
(a)$
(b)$
(d)
(c)
(c)$
(e)
(d)$
Sigma&=&0.2
r
(e)$
Sigma&=&0.8
Sigma&=&1.4
0.5$
Sigma&=&2.0
Sigma&=&2.6
2.0$
2.5$
Figure 6.8 (a) Convolved result before scaling. (b) Scaled convolved result. (c) Overfill
probability map. (d) Scaled convolved results of different sigma values. It is obvious that
sigma = 0.8 matches the best with (c). (e) Sigma-score curve. The definition of the score
62
is shown above the curve. At the best case, theres ~10% difference between scaled
density and overfill probability. This difference may come from the statistical fluctuation
of thermodynamics as well as the systematic template CD variation.
(a)$
(b)$
Figure 6.9 (a) The overfill probability map retrieved from SEM images of 9 cases. (b)
The convolved density map using sigma = 0.7.
63
70'nm'
f'
60'nm'
1'min'
5'min'
30'min'
Figure 6.10 Low-magnitude SEM images. (a) Template CD 70 nm, anneal 1 min. (b)
Template CD 70 nm, anneal 5 min. (a) Template CD 70 nm, anneal 30 min. (a) Template
CD 60 nm, anneal 1 min. (a) Template CD 60 nm, anneal 5 min. (a) Template CD 60 nm,
anneal 30 min.
64
1"min"
5"min"
30"min"
(a)"
(b)"
Figure 6.11 The comparison between experimental results and convolved density map.
Annealing time is 1 minute for the left two columns. Annealing time is 5 minutes for the
middle two columns. Annealing time is 30 minutes for the right two columns. (a)
Experimental results. (b) Convolved density map.
65
No%SDRAFs%
With%SDRAFs%
(a)%
(b)%
(c)%
(d)%
300#nm#
300#nm#
Figure 6.12 (a) The layout design of test pattern. Small squares are SDRAFs and
rectangles are targeted DSA templates. (b) The cases that the SDRAFs were not resolved
due to overexposure. (c) The SEM picture of (a), the CD of SDRAFs is 39 nm. (d) The
SEM picture of (b). For target templates, the size is 53 nm by 81 nm (minor and major
axis lengths) in both cases.
66
Figure 6.13 Comparison between DSA performance with SDRAFs and without SDRAFs.
The top row (a) (d) is the case without SDRAFs, the bottom row (e) (h) is the case
with SDRAFs. (a) SEM image of guiding template without SDRAF. The large ovals are
the target DSA templates, with a major axis of 82 nm and a minor axis of 53 nm. (b) The
DSA result of (a). 1-hole DSA patterns are generated inside the target templates. (c) The
low magnification image of the block. This provides a zoom-out view for us to inspect
the location of overfilled templates. Note that (b) is a zoom-in view of the blue box
shown in the center. It is also important to note that there are some templates at the corner
67
of the block that appear missing but is actually a result of polymers overfilling,
rendering the template less visible under the SEM (see zoom-in view in (d)). (d) A
zoom-in view of the blue box shown in the left top corner of (c). Red circles label the
templates that are overfilled due to low density. (e) SEM image of guiding template with
SDRAFs. The small circular ones are the SDRAFs with a size of 40 nm. The target ovals
have a major axis of 81 nm and a minor axis of 53 nm. (f) The DSA result of (d). 1-hole
DSA patterns are generated inside the target templates while nothing inside the SDRAFs.
(g) The low magnification image of the block. (f) is a zoom-in view of the blue box
shown in the center. (h) A zoom-in view of the blue box shown in the left top corner of
(g). No oval DSA template is overfilled and they all have 1-hole DSA patterns inside.
(a)$
(b)$
(c)$
Figure 6.14 An example of failed SDRAFs. (a) SEM image of guiding templates, the CD
of SDRAF is 55.2 nm. (b) SEM image of DSA result. Inside the red boxes are the 1-hole
DSA patterns generated inside the SDRAFs. (c) The low magnification SEM image of
the block.
68
of the overfill rate (OR), which is defined as the percentage of overfilled templates in the
whole block. After adopting SDRAFs, the OR will decrease due to limited occurrence of
overfill. And the effect of SDRAFs is measured as the reduction of OR. For example, in
the case shown above (Figure 6.13), the OR in Figure 6.12c is 4%, which means in this
case, 4% of the oval templates were overfilled. Similarly, the OR in Figure 6.13g is 0%,
which means no oval templates were overfilled. Thus we can conclude that the SDRAFs
caused an OR drop of 4%.
Then we continue to explore the effects of SDRAFs based on their sizes. Intuitively we
would assume that larger SDRAFs would lead to more reduction in OR. However it is
important to note that if the OR w/o SDRAFs were already very close to 0, the effect of
the difference between small and large SDRAFs would not be obvious since the OR
could easily be pulled to zero. Therefore we picked the case where the OR w/o SDRAFs
was larger than 2% so that we could observe the influence brought by SDRAF size.
In Figure 6.15 we showed the relationship between SDRAF sizes and the corresponding
OR after using SDRAFs. The ones without SDRAFs were labeled. It is clearly shown that
larger SDRAFs will cause larger OR drop, and the effect would saturate when the OR
reached 0 after a certain SDRAF size.
Overll$Rate$(OR)$
5.0%$
Oval$size:$75$nm$x$57$nm$
4.0%$
Oval$size:$80$nm$x$60$nm$
3.0%$
2.0%$
1.0%$
0.0%$
0$
10$
20$
30$
SDRAF$CD$(nm)$
40$
50$
Figure 6.15 The relationship between SDRAF sizes and overfill rate (OR). Overfill rate is
defined as the percentage of overfilled templates in the whole block. The two stars on the
left marked the OR when there is no SDRAF. Blue data points represent the case where
69
the target ovals have a major axis of 75 nm and a minor axis of 57 nm. Orange data
points represent the case where the target ovals have a major axis of 80 nm and a minor
axis of 60 nm. Note that although the some of SDRAF CDs shown above reached below
30 nm, the actually feature sizes on the wafer suffer from large variation, which explains
some of the noises in this trend.
a"
c"
500"nm"
500"nm"
500"nm"
5"um"
f"
500"nm"
5"um"
Figure 6.16 (a) SEM image of guiding templates without SDRAF. The template CD is 74
nm, and the template pitch is 380 nm. (b) SEM image of DSA results in (a). Some of the
templates were overfilled and DSA defects were formed. (c) Low magnitude SEM image
of the entire contact matrix of (b). The OR is higher than 30%. (d) SEM image of guiding
70
templates with SDRAFs. The template CD and pitch are same as (a). The SDRAF size is
41 x 137 nm. (e) SEM image of DSA results in (d). No templates were overfilled. (f) Low
magnitude SEM image of the entire contact matrix of (e). The OR is 0%.
a"
c"
500"nm"
500"nm"
500"nm"
5"um"
f"
500"nm"
5"um"
Figure 6.17 (a) SEM image of guiding templates without SDRAF. The template CD is 72
nm, and the template pitch is 600 nm. (b) SEM image of DSA results in (a). Some of the
templates were overfilled and DSA defects were formed. (c) Low magnitude SEM image
of the entire contact matrix of (b). The OR is higher than 50%. (d) SEM image of guiding
templates with SDRAFs. The template CD and pitch are same as (a). The SDRAF size is
38 x 86 nm. (e) SEM image of DSA results in (d). No templates were overfilled. (f) Low
magnitude SEM image of the entire contact matrix of (e). The OR is 0.03%, means that
only two templates are overfilled.
71
Chapter 7
Conclusions
7.1 Summary of Contributions
There are three fundamental contributions established by our work and discussed in this
thesis: 1. We identify that the aperiodic DSA patterns are critical for contact hole
patterning in integrated circuits, and that small physical guiding templates can provide
the flexible control of placement required for aperiodic DSA patterns. 2. We introduce
the concept of DSA alphabet and establish the design rules for DSA contact hole
patterning. 3. We experimentally show that sub-DSA-resolution assist features can
effectively reduce defects due to uneven template densities across the wafer.
Realizing that contact hole patterning does not require long range order, first we adopted
small physical guiding templates to flexibly control and generate aperiodic DSA patterns.
Both experiments and simulations were carried out to verify the applicability of small
physical guiding templates. Then we realized that there exists a limited set of guiding
templates that can cover all the possible contact patterns of a full chip contact layer,
which we named as the alphabet of DSA. Based on the DSA alphabet concept, we
discovered a general and scalable template design strategy that links the DSA material
properties to the technology node requirements. Three different design solutions are
presented and experimentally demonstrated for using PS-b-PMMA in DSA contact
patterning for 14, 11 and 7 nm node one-bit half adders. This strategy is general and can
be applied to technology nodes beyond the experimentally demonstrated 7 nm node,
provided the block copolymer used meets the necessary size and pitch requirements. Last
but not the least, we extensively studied the DSA Interaction Range and built a Gaussian
Convolution model to describe the template density influence on DSA process. For the
first time, we experimentally proved that Sub-DSA Resolution Assist Features (SDRAFs)
72
were effective in mitigating the template density influence and reduce the overfilled
defects. Our work provides a viable path for low-cost DSA technology to extend optical
lithography beyond its current limits.
73
(a)
Self-assembly
Selective etch
Metal deposition
Polymer etch
(b)
74
Publications
[1]
[2]
Z. Xiao, D. Guo, M.D.F. Wong, H. Yi, M.C. Tung, H.-S. P. Wong, Layout
Optimization and Template Pattern Verification for Directed Self-Assembly
(DSA), Design Automation Conference (DAC), San Francisco, June 7 11, 2015.
Invited paper in Special Session 75, Design for Manufacturability for Sub-10nm
Technologies: Challenges and Solutions
[3]
[4]
H. Yi, X.-Y. Bao, R. Tiberio, and H.-S. P. Wong, A General Design Strategy for
Block Copolymer Directed Self-Assembly Patterning Integrated Circuits Contact
Holes using an Alphabet Approach, Nano Letters, 15 (2), pp 805812, 2015.
[5]
[6]
[7]
Z. Xiao, Y. Du, M.D.F Wong, H. Yi, H.-S. P. Wong, H. Zhang, "Contact pitch
and location prediction for Directed Self-Assembly template verification," Design
Automation Conference (ASP-DAC), January 19 22, 2015.
[8]
[9]
[10]
Y. Du, Z. Xiao, M.D.F. Wong, H. Yi, H.-S. P. Wong, Via layer DSA hot spot
removal through local rerouting, SPIE Advanced Lithography, 9049, 2014.
[11]
Z. Xiao, Y. Du, H. Tian, M.D.F Wong, H. Yi, H.-S. P. Wong, "DSA template
optimization for contact layer in 1D standard cell design," SPIE Advanced
Lithography, 9049, 2014.
[12]
[13]
H. Yi, Y. Wu, Z. Zhang, H.-Y. Chen, S. Yu, H.-S. P. Wong, Metal Oxide
Resistive Switching Memory (RRAM): Devices, Fabrication, and Self-Assembly
Patterning for Random Logic and Memory Devices (SRAM, NAND, RRAM),
invited plenary talk, 26th International Microprocesses and Nanotechnology
Conference, Hokkaido, Japan, November 5 8, 2013.
[14]
Y. Du, D. Guo, M.D.F. Wong, H. Yi, H. -S.P. Wong, H. Zhang, Q. Ma, Block
copolymer directed self-assembly (DSA) aware contact layer optimization for 10
nm 1D standard cell library, Computer-Aided Design (ICCAD), pp.186, 193,
18-21, 2013.
[15]
[16]
International
Conference
(CSTIC),
Symposium
I:
Device
H. Yi, X.-Y. Bao, R. Tiberio, and H.-S. P. Wong, Design Strategy of Small
Topographical Guiding Templates for sub-15 nm Integrated Circuits Contact Hole
Patterns using Block Copolymer Directed Self-Assembly, SPIE Advanced
Lithography, 8680, 868010, 2013.
76
[18]
[19]
[20]
[21]
[22]
H. Yi, X.-Y. Bao, J. Zhang, R. Tiberio, J. Conway, L.-W. Chang, S. Mitra and
H.-S. P. Wong, Contact Hole Patterning for Random Logic Circuits using Block
Copolymer Directed Self-Assembly, SPIE Advanced Lithography, 8323,
83230W, 2012.
[23]
[24]
H.-S. P. Wong, C. Bencher, H. Yi, X.-Y. Bao and L.-W. Chang, Block
Copolymer Directed Self-Assembly Enables Sub-lithographic Patterning for
Device Fabrication, SPIE Advanced Lithography, 8323, 832303, 2012.
[25]
H. Yi, X.-Y. Bao, C. Bencher, H. Dai, Y. Chen and H.-S. P. Wong, Design
Space for One-hole Pattern using Block Copolymer Directed Self-Assembly, The
International Conference on Electron, Ion, Photon Beam Technology and
Nanofabrication (EIPBN), oral presentation, 2012.
[26]
H.-S. P. Wong, C. Bencher, H. Yi, X.-Y. Bao and L.-W. Chang, Directed
Self-Assembly for the Semiconductor Industry, The International Conference on
77
X.-Y. Bao, H. Yi, C. Bencher, L.-W. Chang, H. Dai, Y. Chen, P.-T. J. Chen and
H.-S. P. Wong, SRAM, NAND, DRAM Contact Hole Patterning using Block
Copolymer Directed Self-assembly Guided by Small Topographical Templates,
International Electron Devices Meeting (IEDM), pp. 167-170, 2011.
[28]
78
Reference
[1]
R. R. Schaller, "Moore's law: past, present and future," Spectrum, IEEE, vol. 34,
pp. 52-59, 1997.
[2]
[3]
X.-Y. Bao, H. Yi, C. Bencher, L.-W. Chang, H. Dai, Y. Chen, et al., "SRAM,
NAND, DRAM contact hole patterning using block copolymer directed
self-assembly guided by small topographical templates," in Electron Devices
Meeting (IEDM), 2011 IEEE International, 2011, pp. 7.7. 1-7.7. 4.
[4]
[5]
[6]
[7]
I. W. Hamley, The physics of block copolymers vol. 329: Oxford University Press
New York, 1998.
[8]
[9]
[10]
[11]
79
[13]
[14]
[15]
[16]
[17]
[18]
[19]
[20]
X.-Y. Bao, H. Yi, C. Bencher, L.-W. Chang, H. Dai, Y. Chen, et al., "SRAM,
NAND, DRAM contact hole patterning using block copolymer directed
self-assembly guided by small topographical templates," in Electron Devices
Meeting (IEDM), 2011 IEEE International, 2011, pp. 7.7. 1-7.7. 4.
[21]
80
lithography for random logic circuit layout," in Electron Devices Meeting (IEDM),
2010 IEEE International, 2010, pp. 33.2.1-33.2.4.
[22]
[23]
[24]
[25]
S.-M. Park, O.-H. Park, J. Y. Cheng, C. T. Rettner, and H.-C. Kim, "Patterning
sub-10 nm line patterns from a block copolymer hybrid," Nanotechnology, vol. 19,
p. 455304, 2008.
[26]
[27]
[28]
[29]
[30]
[31]
[33]
[34]
[35]
[36]
[37]
[38]
T. Skotnicki, J. A. Hutchby, T.-J. King, H.-S. Wong, and F. Boeuf, "The end of
CMOS scaling: toward the introduction of new materials and structural changes to
improve MOSFET performance," Circuits and Devices Magazine, IEEE, vol. 21,
pp. 16-26, 2005.
[39]
[40]
[41]
82
Electron Devices Meeting, 2008. IEDM 2008. IEEE International, 2008, pp. 1-4.
[42]
H. Yi, X.-Y. Bao, C. Bencher, H. Dai, Y. Chen, and H.-S. P. Wong, "Design
Space for One-hole Pattern using Block Copolymer Directed Self-Assembly,"
presented at the 56th International Conference on Electron, Ion, and Photon Beam
Technology and Nanofabrication (EIPBN),, 2012.
[43]
[44]
[45]
[46]
[47]
[48]
[49]
[50]
H. Yi, X.-Y. Bao, R. Tiberio, and H.-S. P. Wong, "Design strategy of small
topographical guiding templates for sub-15nm integrated circuits contact hole
patterns using block copolymer directed self assembly," in SPIE Advanced
Lithography, 2013, pp. 868010-868010-9.
83
[51]
H. Yi, X.-Y. Bao, R. Tiberio, and H. S. P. Wong, "A General Design Strategy for
Block Copolymer Directed Self-Assembly Patterning of Integrated Circuits
Contact Holes using an Alphabet Approach," Nano Letters, 2014.
[52]
C. Bencher, H. Dai, and Y. Chen, "Gridded design rule scaling: taking the CPU
toward the 16nm node," in SPIE Advanced Lithography, 2009, pp.
72740G-72740G-10.
[53]
[54]
[55]
[56]
[57]
[58]
[59]
[60]
[61]
84
point-spread
function,
and
flare
map
calibration,"
Journal
of
[63]
for
model-based
SRAF
at
45nm
and
32nm,"
2007,
pp.
660739-660739-10.
[64]
[65]
[66]
[67]
[68]
85