Alvarez SLCC
Alvarez SLCC
Alvarez SLCC
Por
En el
Instituto Nacional de Astrofísica Óptica y
Electrónica
Febrero del 2010
Tonantzintla, Puebla
Supervisada por:
Dr. Alejandro Díaz Sánchez.
Dr. José Miguel Rocha Pérez
©INAOE 2010
Derechos Reservados
El autor otorga al INAOE el permiso de
reproducir y distribuir copias de esta tesis en su
totalidad o en partes.
2
Resumen
I
3.- Oscilador controlado digitalmente (DCO): La modificación de las
cargas simétricas permite que la frecuencia de oscilación ahora dependa
directamente de la celda de retardo que es introducida en dichas cargas. Con
base a esto, se propone una celda que modifica su respuesta en frecuencia
mediante una palabra digital, de tal manera que, al implementar un oscilador
de anillo de dos etapas, su frecuencia de oscilación depende linealmente de
la palabra digital de entrada. En términos más simples, la degeneración en
fase es usada como método de programación de la frecuencia de oscilación.
II
Agradecimientos
Este trabajo no hubiera sido posible sin el apoyo de las personas que
me han compartido sus conocimientos durante el transcurso de mi vida
profesional, así como las distintas instituciones que me brindaron la
infraestructura para realizarlos.
A mis asesores Dr. Alejandro Díaz S. y Dr. José Miguel Rocha por
darme la oportunidad de trabajar junto con ellos.
III
IV
A las personas que más amo en la vida
V
VI
Índice
RESUMEN .............................................................................................................................................. I
CAPÍTULO 1 ......................................................................................................................................... 1
INTRODUCCIÓN ................................................................................................................................... 1
1.1 IMPORTANCIA DE CMOS EN RF ................................................................................................ 2
1.2 OBJETIVOS ................................................................................................................................. 4
1.3 ORGANIZACIÓN DE LA TESIS ...................................................................................................... 5
CAPÍTULO 2 ......................................................................................................................................... 7
CAPÍTULO 3 ........................................................................................................................................27
VII
3.2.1.3 Seguidor de voltaje .................................................................................................................. 34
3.2.2 Degeneración en fase usando el seguidor de voltaje ..................................................... 37
3.2.2.1 Oscilador de dos etapas por degeneración en fase ................................................................... 45
3.2.3 Degeneración en fase modificada.................................................................................. 50
3.2.3.1 Oscilador de dos etapas (degeneración modificada) ................................................................ 55
3.3 OSCILADOR DE DOS ETAPAS A 915 MHZ .................................................................................. 57
3.4 OSCILADOR CONTROLADO DIGITALMENTE (PROPUESTO) ........................................................ 66
CAPÍTULO 4 ....................................................................................................................................... 77
CAPÍTULO 5 ....................................................................................................................................... 93
APÉNDICE A ...................................................................................................................................... 97
VIII
Capítulo 1
Introducción
1
que se adapten al flujo de diseño digital y, por lo tanto, reducir el costo. Un
ejemplo claro de un sistema indispensable en sistemas de comunicación que
ha tendido a la implementación digital es el lazo de amarre de fase (PLL),
que en la actualidad está siendo remplazado por un lazo de amarre de fase
completamente digital (ADPLL).
2
180nm, 90nm, etc., la cual representa la longitud mínima del canal de
compuerta de un transistor MOS en la tecnología correspondiente. Este
escalamiento contribuye al aumento de la frecuencia de transición (fT) del
transistor, y en la actualidad la tecnología CMOS es factible para diseños de
circuitos de RF. En la Figura 1.2 se muestra la frecuencia de transición para
distintos nodos de tecnología junto con la proyección 2003 del International
Technology Roadmap for semiconductors (ITRS), en dicha figura se observa
que la frecuencia de transición de las tecnologías CMOS actuales están ya
por encima de los 100GHz.
3
ejemplo de ello se muestra en la Figura 1.3, en la cual se observa un
transceptor como parte de un sistema SoC fabricado en un Proceso CMOS
digital de 90nm.
1.2 Objetivos
4
1.3 Organización de la tesis
5
6
Capítulo 2
Marco teórico
7
Uno de los métodos que define las condiciones de oscilación de un
circuito es mediante la teoría de retroalimentación (feedback).
vout H ( s)
Av ( s) ( 2.1 )
vin 1 H ( s) ( s)
8
Para el caso de osciladores, una señal de salida debe existir sin una señal
aplicada a la entrada. Así con vin=0 en (2.1), sugiere que una señal de salida
vout finita solo es posible si el denominador es igual a cero. De manera que
H ( s) ( s) 1 ( 2.2 )
Esta ecuación establece que la ganancia del lazo debe ser igual a uno para
que las oscilaciones sean posibles.
H ( s) ( s) 1 ( 2.3 )
9
oscilador electrónico éstos no se encuentran exactamente sobre el eje
imaginario. Esto se debe a los mecanismos no-lineales de la ganancia del
lazo, provocados por la saturación del amplificador al estar operando a gran
señal, de tal manera que modifican la ubicación de dichos polos. En el inicio
de la oscilación, un par de polos complejos conjugados de la ganancia en
lazo cerrado de la ecuación (2.1) deberán existir en el plano derecho,
entonces debido al ruido en el sistema, una señal de salida sinusoidal
comenzará a crecer mediante la retroalimentación positiva. Conforme la
amplitud de la señal de salida incrementa, las características de limitación de
amplitud tales como reducción de la ganancia hacen que los polos se
muevan directamente hacia el eje imaginario, los cambios son tales que los
polos llegan al plano izquierdo, una vez en este plano la amplitud comienza a
decrecer ocasionando ahora que los polos se muevan directo hacia el plano
derecho. El movimiento del plano derecho a izquierdo y viceversa se repite
continuamente, produciendo una oscilación con una frecuencia fundamental
en estado estable. En conclusión, para que las oscilaciones inicien, el circuito
deberá ser inestable. Una vez provocado esto, los criterios de Barkhausen
pueden ser usados para predecir de una manera aproximada la frecuencia
fundamental.
10
a una frecuencia infinita y un desplazamiento en fase de 180° en dc debido a
la inversión de la señal de compuerta a drenaje. Con las tres etapas en
cascada se obtiene un circuito con tres polos, pudiendo conseguir un
desplazamiento en fase de -135° a la frecuencia del polo y -270° a una
frecuencia infinita. Cada etapa proporciona un desfasamiento de -60° y se
consiguen los -180° a una frecuencia en donde la ganancia del lazo es igual
o mayor a la unidad, de manera que se cumplen los criterios de Barkhausen,
obteniéndose oscilaciones cuyas formas de onda de cada nodo se muestran
en la Figura 2.3, las señales tienen un desfasamiento de 120° (o 240°) entre
ellas.
11
Figura 2.4 Oscilador de anillo de cuatro etapas
1
f osc ( 2.6 )
2 Nt d
12
Control por voltaje: Conocidos como osciladores controlados por
voltaje (VCO).
Frecuencia central
13
manera que se diseña el oscilador para tener un rango de entonado
alrededor de ella.
Rango de entonado
14
Amplitud de la señal de salida
Disipación de potencia
15
manera que la frecuencia deseada siempre permanezca dentro de ella ante
variaciones de PVT.
Estabilidad en frecuencia
V (t ) A sin(t ) (8)
16
provoca que el espectro de frecuencias se extienda alrededor de la
frecuencia de oscilación.
P ( ,1Hz)
L{} 10 log sideband 0 ( 10 )
Pcarrier
17
Figura 2.8 Espectro típico del ruido de fase de un oscilador
Para el análisis del ruido de fase se han propuesto varios modelos, los más
conocidos son:
18
Figura 2.9 Síntesis de frecuencia
19
Síntesis indirecta o lazo de amarre de fase (PLL): la mayoría de los
sintetizadores inalámbricos para aplicaciones móviles usan una
estructura de PLL. Comparado con el analógico directo consume
menor potencia y tiene un bajo costo; y con respecto a DDS es posible
sintetizar frecuencias mayores con menor consumo de potencia. Su
principio de funcionamiento así como su clasificación se describen en
la sección 2.2.1.
20
Rango de frecuencias: El rango tiene que ser lo suficientemente
amplio para cubrir la banda de frecuencias de la aplicación y las
variaciones de proceso, voltaje y temperatura que puedan
presentarse.
21
1. Detector de fase (DP): Compara la señal de entrada con la frecuencia
de salida del oscilador dividida por N y proporciona una señal de
salida que es proporcional a la diferencia de fase de las dos señales.
22
esto se obtiene una señal de salida cuya frecuencia es igual al de la entrada
multiplicada por N.
FVCO N FREF ( 11 )
PLL Lineal (LPLL): Todos los componentes son analógicos, usando como
detector de fase un multiplicador analógico de cuatro cuadrantes, el cual
proporciona en su salida un número de términos producidos por la
multiplicación en la que el primer término corresponde al componente de
“dc”, que es proporcional a la diferencia de fase entre las señales de entrada.
Las componentes de “ac” son suprimidas mediante un filtro pasa bajas.
23
PLL Completamente digital (ADPLL): El ADPLL consiste de la interacción
de bloques digitales, los cuales usan una estructura lógica digital en vez de
niveles de corriente o voltaje como en un LPLL o DPLL para conseguir el
estado de amarre. El detector de fase en este caso entrega una palabra de
salida proporcional a la diferencia de fase entre sus señales de entrada. Esta
palabra digital es posteriormente acondicionada mediante un filtro digital. El
VCO es remplazado por un oscilador controlado digitalmente (DCO) cuya
frecuencia de salida es proporcional a la palabra digital de entrada. En
muchas arquitecturas actuales se usan como parte del detector de fase un
convertidor de Tiempo-digital (TDC) [ 11 ].
24
El oscilador controlado digitalmente (DCO) es uno de los componentes
más importantes de un ADPLL (el otro es el detector de fase). Este tipo de
osciladores evita cualquier control de entonado analógico, realizando el lazo
de control del circuito en una implementación completamente digital. A pesar
de que el oscilador sigue siendo analógico, la realización del lazo de control
en forma digital permite evitar las aportaciones de offset inherentes a todo
circuito analógico. Además, como los parámetros del filtro de lazo son
numéricos, este puede ser cambiado fácilmente mediante la programación
de los registros, en lugar del cambio de componentes requeridos en los PLLs
basados en bombas de carga, obteniendo así la facilidad para migrar a
diferentes tecnologías con menor costo de diseño.
25
26
Capítulo 3
3.1 Introducción
27
por voltaje de dos etapas con los cuales es posible realizar DCOs al anexar
un DAC en la entrada. Finalmente, se diseña un VCO para funcionar en la
banda médica de 915MHz, así como un DCO basado en la variación de la
ubicación de los polos del seguidor de voltaje para el entonado de la
frecuencia.
28
a cada una de ellas dependiendo del valor del capacitor de dicha entrada con
respecto a la capacitancia total conectada a la compuerta del transistor.
29
El voltaje en la compuerta flotante Vg está dada por:
n
(QFG C gsVs C gdVd CiVi )
Vg i 1
( 3.1)
CT
Ci
Donde: k i
C1 C 2 ... C n
30
ponderado en AC con la diferencia de que en este caso un resistor de valor
elevado conecta débilmente el nodo de compuerta a uno de los rieles,
eliminando de esta manera el problema de la carga inicial. En la práctica el
resistor es implementado usando un transistor conectado como diodo y
polarizado inversamente [ 16 ]. El circuito de un QFGT se muestra en la
Figura 3.3.
n
sRleak
Vg (C gsVs C gdVd CiVi ) ( 3.3 )
1 sRleak CT i 1
31
corriente variable, controlada mediante el voltaje de corriente continua V ctr, tal
como se muestra en la Figura 3.4(a). La resistencia equivalente de esta
carga es aproximadamente el inverso de la transconductancia de los
transistores conectados como diodo, es decir 1/gm3 de una rama y 1/gm6 de la
otra, siendo éstas simétricas. La característica I-V de la carga para dos
valores de Vctr se muestra en la Figura 3.4(b), la línea punteada representa la
resistencia efectiva. El retardo de la celda cambia con cada valor de V crt ya
que la resistencia efectiva también cambia, de esta manera, al ser usado en
un oscilador de anillo se consigue el control de la frecuencia.
g m1, 2
H ( s) ( 3.4 )
g m3,6 g1 C1 s
32
El sistema es de un solo polo, por lo tanto, no es posible realizar un
oscilador de dos etapas usando dicha celda, ya que el desfasamiento de 90°
se consigue sólo cuando la frecuencia tiende al infinito y en ese punto la
ganancia se encuentra por debajo de 0dB (Figura 3.5 (a)). El polo del sistema
se encuentra en el lado izquierdo del plano complejo del lugar de las raíces
(Figura 3.5 (b)) siendo el circuito estable.
33
3.2.1.3 Seguidor de voltaje
Respuesta en DC
g m1, 2
Ao ( 3.5 )
g m1, 2 ( g d 1, 2 g d 5 )
34
Donde gd representa la conductancia equivalente entre drenaje y fuente del
transistor respectivo al subíndice.
La resistencia de salida es [ 18 ]:
g d 1, 2 2 g d 3
ro ( 3.6 )
g m1, 2 g m 4
Respuesta en AC
A0
H ( s) ( 3.7 )
C C C
1 C s C L s2
g m1, 2 g m1, 2 g m 4
1 CC g m 4
( 3.8a)
2 C L g m1, 2
g m1, 2 g m 4
0 (3.8b)
CC C L
35
g m1, 2
CC 2 2 CL ( 3.9 )
g m4
g m 4 2 0 C L ( 3.10 )
36
La respuesta en frecuencia de la función de transferencia procesada
en Matlab se muestra en la Figura 3.7(a). La frecuencia a ganancia unitaria
esta alrededor de 2.2 GHz con un defasamiento de 112°. El lugar de las
raíces (Figura 3.7(b)) muestra los dos polos complejos conjugados colocados
del lado izquierdo, siendo el sistema estable.
37
circuito equivalente de pequeña señal con el cual se determina la impedancia
equivalente de la carga.
1
Z eq ( 3.11 )
g m3 H ( s) g1 C1 s
A0
H ( s) ( 3.12 )
1 bs as 2
1 bs as 2
Z eq ( 3.13 )
C1as 3 ( g1a C1b) s 2 ( g1b C1 ) s ( g m3 A0 g1 )
38
Figura 3.10 Respuesta en frecuencia de la impedancia de carga.
39
Figura 3.11 Circuito equivalente en modo diferencial de la celda degenerada en fase.
Ad g m1 (Z eq // r01 ) ( 3.14 )
g m1
Ad ( 3.15 )
g m3 H ( s) C1s g1
g m1 (1 bs as 2 )
Ad ( 3.16 )
C1as 3 ( g1a C1b) s 2 ( g1b C1 ) s g m3 A0 g1
40
(a) Lugar geométrico de las raíces
Criterios de
Barkhausen
para dos etapas
41
rango de frecuencias. Esto tiene el efecto de incrementar la ganancia
diferencial de la celda obteniendo de esta manera la degeneración en fase e
inestabilidad del sistema. Pero, a su vez, también incrementa la ganancia en
modo común, ya que ésta es también proporcional a la impedancia de la
carga. Por lo tanto, es indispensable realizar el análisis de este caso.
42
Figura 3.13 Circuito equivalente en modo común de la celda degenerada en fase
1
Zp ( 3.17 )
Cps g p
g m1 Z eq
AMC ( 3.18 )
1 2Z p g m1
g m1 ( g p C p s)
AMC ( 3.19 )
( g m3 H ( s) g1 C1 s)( g p 2 g m1 C p s)
g m1 ( g p C p s)(1 bs as 2 )
AMC ( 3.20 )
(C1 as 3 ( g1 a C1b) s 2 ( g1b C1 ) s g m3 A0 g1 )( g p 2 g m1 C p s)
43
la ganancia en modo común llega a estar por encima de 0dB obteniendo, al
igual que en modo diferencial, la capacidad de cumplir con los criterios de
oscilación usando dos etapas de esta celda. En la Figura 3.14(b) se muestra
el lugar geométrico de las raíces donde se observan los dos polos complejos
conjugados del lado derecho, indicando la inestabilidad del sistema.
44
3.2.2.1 Oscilador de dos etapas por degeneración en fase
45
En la Figura 3.16 se muestra la respuesta transitoria de las señales de
salida (vout+ y vout-), obteniéndose oscilaciones senoidales con una amplitud
de 1.1Vpp, las cuales se encuentran en fase, indicando que el circuito se
encuentra oscilando en modo común.
Por lo tanto:
46
Las principales ventajas de este oscilador son su alta velocidad y bajo
consumo de potencia promedio, como se muestra en la Figura 3.18 y Figura
3.19 respectivamente, obteniendo frecuencias de oscilación alrededor de 1.9
GHz con un consumo de potencia promedio por debajo de 1mW. La Figura
3.18 muestra la curva de transferencia del oscilador; teniendo un rango de
entonado de 216MHz en las frecuencias de 1.768-1.984GHz con un voltaje
de control de 0-1.2V, dando como resultado una ganancia de
KVCO 180MHz / V .
47
La variación de la frecuencia con el voltaje de alimentación se muestra
en la Figura 3.20 mostrando un comportamiento lineal con las variaciones de
Vdd. La sensibilidad de la frecuencia normalizada a Vdd es de 41.5 MHz/%.
48
La disminución de la ganancia del oscilador (KVCO) al aumentar la
temperatura, produce puntos de cruce en el cual las variaciones de la
frecuencia con la temperatura son menores. Para el caso de la Figura 3.21
se presenta alrededor de Vctr=0.25V, teniendo una variación promedio de
106KHz/°C.
49
3.2.3 Degeneración en fase modificada.
1
Z eq
g m3 H ( s) g1 C1 s
50
La disminución de la impedancia de la carga provocará también una
disminución de la ganancia en modo diferencial, provocando la
pérdida de las condiciones de oscilación en ambos casos.
El uso de FGT permite evitar que ocurra el segundo punto, haciendo uso
de la capacidad para manejar múltiples entradas acopladas capacitivamente
y dándole diferentes pesos a cada una, permitiendo de esta manera
introducir un segundo lazo de retroalimentación tal como se ilustra en la
Figura 3.23.
51
Figura 3.24 Carga modificada: (a) topología, (b) modelo de pequeña señal.
1
Z eq ( 3.22 )
g m 3 (k 2 H ( s) k1 ) g1 C1 s
Ci
Donde: k i para Ci C gs C gd
C1 C 2
52
consecuentemente, la ganancia en modo diferencial aumenta. Con esta
modificación se consigue evitar que las condiciones de oscilación se cumplan
en modo común, manteniendo su ganancia por debajo de 0dB sin perjudicar
las del modo diferencial. Por lo tanto, el circuito oscilará con señales
diferenciales, obteniendo de esta manera las señales en cuadratura.
Modo común
g m1 ( g p C p s)
AMC ( 3.23 )
( g m3 (k 2 H ( s) k1 ) g1 C1 s)( g p 2 g m1 C p s)
Modo diferencial
g m1
Ad ( 3.24 )
C1 s g m3 (k 2 H ( s) k1 ) g1
53
(a) Ganancia en modo común
Criterios de
Barkhausen
para dos etapas
54
3.2.3.1 Oscilador de dos etapas (degeneración modificada)
55
(a) Ganancia en modo común
Criterios de Barkhausen
para dos etapas
(Desfasamiento de 90°)
56
se muestra en la Figura 3.28(b) indicando las señales de la compuerta y
drenaje de uno de los transistores del par diferencial, es decir los nodos vin+ y
vout- de la Figura 3.26 (a).
57
banda médica de 915MHz. La celda de retardo se muestra en la Figura 3.29,
(el dimensionamiento y esquemático completo se proporciona en el apéndice
A), en la cual los transistores Md1 y Md2 fueron anexados con la finalidad de
remover las cargas atrapadas durante el proceso de fabricación y de esta
manera eliminar el offset que se genera [ 16 ]. Ambos transistores tienen la
compuerta y fuente en corto circuito, permaneciendo de esta manera en
estado apagado, comportándose por lo tanto como un diodo en polarización
inversa, el cual puede ser modelado con una capacitancia por efecto de la
región de agotamiento en paralelo con una resistencia de valor muy elevado
obteniendo de esta manera un QFGT (quasi-floating-gate-transistor).
58
polarización vp para los PMOS y vn para NMOS se implementaron usando
divisores de voltaje determinados por la razón de dimensiones de los
transistores. En la salida del circuito integrado se usarán dos pads para
obtener las señales en cuadratura, por lo tanto, es necesario sensar cada
una de las salidas de ambas etapas del oscilador. Esto se lleva acabo
usando dos redes idénticas mediante el uso de un par pseudo-diferencial
para convertir cada una de las salidas diferenciales de cada etapa en una
señal single-ended, las salidas de éstas son introducidas en dos inversores
en cascada dimensionadas para manejar una capacitancia de 1.5pF que
representa la capacitancia de los pads del circuito integrado.
Señales transitorias
59
Figura 3.31 Simulación transitoria del circuito completo
Función de transferencia
Consumo de potencia
60
3.33 (La potencia consumida por los buffers de salida no son tomados en
cuenta). Las celdas diferenciales polarizadas con una fuente de corriente
idealmente constante disminuye el ruido que introduce el VCO al circuito
integrado, en este caso particular la variación del consumo de potencia es
tan solo de 26µWatts en todo el rango de entonado. Una estimación rápida
del consumo de potencia del oscilador es la potencia estática es decir, P =
Ibias* Vdd.
61
Comportamiento térmico
62
Figura 3.35 Efectos del voltaje de alimentación (frecuencia vs Vdd).
63
Ruido de fase
64
Layout
65
Figura 3.39 Layout completo del oscilador junto con circuitos auxiliares para su fabricación.
g m1, 2 g m 4
0
CC C L
66
De esta ecuación vemos que gm4 se puede variar de manera práctica
para controlar la ubicación de los polos, y como resultado obtener el control
de la frecuencia de oscilación.
Mb0 x
Mb1 2x
Mb2 4x
Mb3 8x
Mb4 16x
Mb5 32x
67
de control más la corriente del par diferencial normal. Esto conduce a un
consumo de potencia elevado si los niveles de las entradas son 0 y 1.8V para
el “1” y “0” lógicos respectivamente, ya que esto conlleva a tener unidades de
corriente de valor elevado dado que su vgs es de riel a riel. Por lo tanto, si se
reducen los niveles de entrada a 1.8V para el “0” lógico y 1.2V para el “1”
lógico obtenemos unidades de corriente menores (vgs menor), dando como
resultado una mayor cantidad de bits de entrada con una menor corriente de
polarización de la celda.
68
Figura 3.42 Celda de retardo controlada digitalmente (CRCD).
Con esta modificación obtenemos una celda de retardo que puede ser
controlada en tres puntos distintos:
69
entrada digital mediante un DAC cuya resolución determinará el paso mínimo
de entonado.
Para el entonado grueso se hace uso del control digital del seguidor
de voltaje mediante el uso del acoplador de niveles dada en la Figura 3.41.
70
digital con un DAC de 8bits se obtendrá un paso de frecuencia aproximado
de K DCO 520.8kHz / LSB .
71
Figura 3.47 Consumo de potencia promedio del DCO.
72
era de esperarse que también presente grandes variaciones de la frecuencia
de oscilación por variaciones de proceso. La principal diferencia de esta
gráfica es: la pendiente de la curva de transferencia cambia debida
principalmente al acoplador de niveles, puesto que en su interior manipula
niveles analógicos que determinan el comportamiento del buffer modificado.
73
curvas muestra un punto de cruce, el cual puede ser manipulado para que
ocurra a la frecuencia central y así obtener menor sensibilidad a V dd.
74
cada celda de retardo para el control digital. De manera que; la técnica de
degeneración en fase mediante un buffer se mantiene abierto para las
mejoras en ruido de fase.
75
76
Capítulo 4
4.1 Introducción
77
Incrementa la flexibilidad en cambios del diseño.
Exploración más rápida de arquitecturas alternativas.
Exploración más rápida de librerías de tecnologías alternativas.
Permite el uso de síntesis para una rápida estimación de área y
tiempos.
Mejor y más fácil supervisión y verificación del diseño.
78
Figura 4.1 Sintetizador de frecuencia basado en ADPLL [ 22 ]
Figura 4.2 Circuito tanque LC con banco de capacitores discretos para diferentes modos de
control [ 23 ].
79
Figura 4.3 VCO dentro de una arquitectura de ADPLL.
f V ,deseada
FCW N ( 4.1 )
fR
80
Esta razón de frecuencias comúnmente está compuesta por una parte entera
y una fraccional, las cuales serán representadas con dos palabras de punto
fijo.
FCW N N i N f ( 4.2 )
81
Esta función se lleva a cabo mediante un convertidor de tiempo a digital
(TDC) que cuantizará la corrección de error fraccional [k] en un número
entero de punto fijo.
E [k ] RR [k ] Rv[k ] [k ] ( 4.3 )
Como FCW está compuesto de una parte entera y una fraccional, por lo tanto
RR[k] estará también compuesto por una parte entera RR,i[k] y la parte
fraccional RR,f[k], de tal manera que, la ecuación (4.3) se puede reescribir en
dos partes independientes correspondientes a la resta de partes enteras y
fraccionales.
82
El detector de fase genera una señal de salida digital correspondiente
al error de fase que posteriormente es condicionada para controlar la
frecuencia de oscilación del DCO.
83
Figura 4.8 Esquema general del acumulador de fase variable.
84
el flanco de subida y bajada de CKV representados por tr y tf
respectivamente, los cuales son determinados mediante la detección de
cambios de “1” a “0” y de “0” a “1”en el vector TDC_Q. Dependiendo de la
ubicación de estos cambios dentro del vector es el valor de tr y tf
cuantizados por el número de inversores. En la figura Figura 4.9 se muestra
un ejemplo con una cadena de 10 inversores y un periodo de CKV (T V) igual
al retardo de 8 inversores, obteniendo de esta manera tr=6tinv y tf=2tinv.
Mediante la manipulación de la información proporcionada por tr y tf se
obtiene el valor del periodo y consecuentemente la señal de error fraccional
[k] tal como se muestra en la Figura 4.10, en la cual se han representado
ambos casos de error de fase que se presentan en un PLL convencional.
Figura 4.10 Estimación del error fraccional mostrando ambos casos de error de fase de un
clásico PLL [ 24 ].
TV t r t f t r t f
( 4.5 )
2 t f t r otro
85
Tal como se muestra en la Figura 4.10, la señal de corrección de error
fraccional ε[k] de ecuación (4.4) es calculada usando la ecuación (4.6). Esta
señal corresponde a la diferencia de tiempo entre los flancos de subida de
FREF y el siguiente de CKV, siendo ε[k] positivo y ϵ(0,1).
t r [k ]
[k ] 1 ( 4.6 )
TV
t r [k ] wf
[k ] 1 *2 ( 4.7 )
TV
Detector de fase
86
resultante es el que se muestra en la Figura 4.12, con una señal de salida de
wi bits para la parte entera y de wf bits para la parte fraccional.
87
La configuración del modulador se muestra en la Figura 4.14, el
cual consta de una estructura error-feedback de segundo orden.
88
4.3 Simulación del ADPLL
89
Figura 4.17 Frecuencia de salida del ADPLL
90
Nf=2wf/2=512 para wf=10bits. En la figura se observa la frecuencia intermedia
alrededor de 916Mz entre los dos múltiplos de frecuencia entera.
91
92
Capítulo 5
93
corriente de polarización de la celda básica del oscilador para el
aumento de la frecuencia como sucede en las topologías
convencionales.
94
915MHz, con un consumo de potencia alrededor de 900uW con señales de
salida en cuadratura.
95
96
Apéndice A
97
Parámetro Mb1 Mb2 Mb3 Mb4
Celda de retardo:
W(μm) 2
M2 4 0.25 8 2 0.4 1.8 0.9
98
Oscilador de dos etapas a 915MHz (sección 3.3)
Parámetro Mb1 Mb2 Mb3 Mb4 Mf1 Mf2 Mf3 Mf4 Mf5
W(μm) 0.4
M2 1 1 0.5 3.5 8 4.2 2 0.9
L(μm) 0.2 0.2 0.2 0.2 0.2 0.2 0.2 0.2 0.2
W(μm) 6
M2 2 20 80 5 20
99
En la Tabla A- 4 la letra “x” sustituye la letra A y B en las etiquetas de los
transistores.
Celda básica:
W(μm) 0.6
M2 5.6 0.3 8 2 0.4 1.8 0.3
100
Oscilador controlado digitalmente (DCO) (sección 3.4)
Esquemático principal:
M1 M2 M3 M4 M5 M6 M7, M8
W(μm) 0.8
M2 0.4 3.2 4 0.24 0.4 0.24 0.4
101
Celda de retardo del DCO:
W(μm M2
1.7 0.8 0.24 0.48 0.96 1.92 3.84 7.68
)
L(μm) 0.2 0.4 0.4 0.4 0.4 0.4 0.4 0.4
102
Apéndice B
103
Código del sistema completo (Figura 4.16)
LIBRARY ieee;
use ieee.std_logic_1164.ALL;
use ieee.std_logic_arith.all;
entity adpll is
generic(
wi:integer:=8;
wf:integer:=10;
resolucion: time:=1 fs;
dco_r:integer:=349;
period_0:time:=1024590 fs;
kp:integer:=2);
port(
Ni,Nf:in integer;
fref:in std_logic
);
end entity adpll;
component acum_var is
generic(wi:integer:=8);
port
(ckv,ckr: in std_logic;
Rvk: out std_logic_vector (wi-1 downto 0):=(others=>'0'));
end component;
component acum_ref is
generic(
wi:integer:=8;
wf:integer:=10);
port (
Ni,Nf:in integer;
ckr:in std_logic;
Rrik:out std_logic_vector(wi-1 downto 0):=(others=>'0');
Rrfk:out std_logic_vector(wf-1 downto 0):=(others=>'0'));
end component;
component error_frac is
generic (
DTDC: integer:=57;
delay_inv: time:= 20 ps;
wf: integer:=10
);
port(
ckv,fref,ckr:in std_logic;
ek:out std_logic_vector(wf-1 downto 0):=(others=>'0')
104
);
end component;
component detector_fase is
generic(
wi:integer:=8;
wf:integer:=10);
port(
Rvk,Rrik:in std_logic_vector(wi-1 downto 0):=(others=>'0');
Rrfk,ek:in std_logic_vector(wf-1 downto 0);
wint:out std_logic_vector(wi-1 downto 0):=(others=>'0');
wfrac:out std_logic_vector(wf-1 downto 0):=(others=>'0')
);
end component;
component reclock is
port (
fref,ckv:in std_logic;
ckr:out std_logic);
end component;
component filtro is
generic(
wi:integer:=8;
wf:integer:=10;
kp:integer:=1);
port(
wint:in std_logic_vector(wi-1 downto 0):=(others=>'0');
wfrac:in std_logic_vector(wf-1 downto 0):=(others=>'0');
wint2:out integer;
wfrac2:out std_logic_vector(wf-1 downto 0):=(others=>'0')
);
end component;
component model_dac is
generic (
wi:integer:=8;
wf:integer:=10;
resolucion: time:=1 fs;
dco_r:integer:=349);
port (
wint2:in integer:=0;
frac_sd: in std_logic;
dev_per:out time:=0 fs
);
end component;
component pco is
generic (
period_0: time:=1024590 fs
);
port (
dev_per: in time:=0 fs ;
ckv : out std_logic);
end component;
component modulador is
generic (
wf:integer:=10);
port(
wfrac2:in std_logic_vector(wf-1 downto 0):=(others=>'0');
ckv:in std_logic;
frac_sd:out std_logic:='0');
end component;
begin
reloj: reclock port map (fref,ckv,ckr);
p_var: acum_var port map (ckv,ckr,Rvk);
p_ref: acum_ref port map (Ni,Nf,ckr,Rrik,Rrfk);
105
tdc: error_frac port map (ckv,fref,ckr,ek);
d_fase: detector_fase port map (Rvk,Rrik,Rrfk,ek,wint,wfrac);
filter: filtro generic map(wi,wf,kp) port map(wint,wfrac,wint2,wfrac2);
mod_sd: modulador port map(wfrac2,ckv,frac_sd);
dac: model_dac generic map(wi,wf,resolucion,dco_r) port
map(wint2,frac_sd,dev_per);
osc: pco generic map (period_0) port map(dev_per,ckv);
end;
library ieee;
use ieee.std_logic_1164.all;
entity reclock is
port (
fref,ckv:in std_logic;
ckr:out std_logic);
end entity;
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
use ieee.std_logic_unsigned.all;
entity acum_ref is
generic(
wi:integer:=8;
wf:integer:=10);
port (
Ni,Nf:in integer;
ckr:in std_logic;
Rrik:out std_logic_vector(wi-1 downto 0):=(others=>'0');
Rrfk:out std_logic_vector(wf-1 downto 0):=(others=>'0'));
end entity;
106
if Nf=0 then
prfi:=(others=>'0');
else
prfi:=Nf+prfi;
end if;
pri_aux:=Ni+pri_aux+prfi(wf);
Rrik<=pri_aux;
Rrfk<=prfi(wf-1 downto 0);
end if;
end process;
end behav;
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
use ieee.std_logic_unsigned.all;
entity acum_var is
generic(wi:integer:=8);
port
(ckv,ckr: in std_logic;
Rvk: out std_logic_vector (wi-1 downto 0):=(others=>'0'));
end entity;
p2:process (ckr)
begin
if rising_edge(ckr) then
Rvk<=pvi;
end if;
end process;
end;
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity error_frac is
generic (
DTDC: integer:=57;
delay_inv: time:= 20 ps;
wf: integer:=10);
107
port(
ckv,fref,ckr:in std_logic;
ek:out std_logic_vector(wf-1 downto 0):=(others=>'0'));
end error_frac;
component inv
generic (delay_inv:time:= 20 ps);
port (x: in std_logic; y: out std_logic);
end component;
component ff_D
port(fref:in std_logic; A:in std_logic;
Q:out std_logic);
end component;
component ff_Dn
port(fref:in std_logic; A:in std_logic;
Qn:out std_logic);
end component;
begin
n1:inv generic map ( delay_inv ) port map (ckv,D(0));
n2:for k in 1 to DTDC generate
P:inv generic map ( delay_inv ) port map (D(k-1), D(k));
end generate;
-------------------------------------------------
ff1e:ff_Dn port map (fref, D(0), tdc_q(0));
ff1:ff_Dn port map (fref, D(2), tdc_q(2));
ff2:ff_Dn port map (fref, D(4), tdc_q(4));
ff3:ff_Dn port map (fref, D(6), tdc_q(6));
ff4:ff_Dn port map (fref, D(8), tdc_q(8));
ff5:ff_Dn port map (fref, D(10), tdc_q(10));
ff6:ff_Dn port map (fref, D(12), tdc_q(12));
ff7:ff_Dn port map (fref, D(14), tdc_q(14));
ff8:ff_Dn port map (fref, D(16), tdc_q(16));
ff9:ff_Dn port map (fref, D(18), tdc_q(18));
ff10:ff_Dn port map (fref, D(20), tdc_q(20));
ff11:ff_Dn port map (fref, D(22), tdc_q(22));
ff12:ff_Dn port map (fref, D(24), tdc_q(24));
ff13:ff_Dn port map (fref, D(26), tdc_q(26));
ff14:ff_Dn port map (fref, D(28), tdc_q(28));
ff15:ff_Dn port map (fref, D(30), tdc_q(30));
ff16:ff_Dn port map (fref, D(32), tdc_q(32));
ff17:ff_Dn port map (fref, D(34), tdc_q(34));
ff18:ff_Dn port map (fref, D(36), tdc_q(36));
ff19:ff_Dn port map (fref, D(38), tdc_q(38));
ff20:ff_Dn port map (fref, D(40), tdc_q(40));
ff21:ff_Dn port map (fref, D(42), tdc_q(42));
ff22:ff_Dn port map (fref, D(44), tdc_q(44));
ff23:ff_Dn port map (fref, D(46), tdc_q(46));
ff24:ff_Dn port map (fref, D(48), tdc_q(48));
ff241:ff_Dn port map (fref, D(50), tdc_q(50));
ff242:ff_Dn port map (fref, D(52), tdc_q(52));
ff54:ff_Dn port map (fref, D(54), tdc_q(54));
ff56:ff_Dn port map (fref, D(56), tdc_q(56));
108
ff34:ff_D port map (fref, D(21), tdc_q(21));
ff35:ff_D port map (fref, D(23), tdc_q(23));
ff36:ff_D port map (fref, D(25), tdc_q(25));
ff37:ff_D port map (fref, D(27), tdc_q(27));
ff38:ff_D port map (fref, D(29), tdc_q(29));
ff39:ff_D port map (fref, D(31), tdc_q(31));
ff40:ff_D port map (fref, D(33), tdc_q(33));
ff41:ff_D port map (fref, D(35), tdc_q(35));
ff42:ff_D port map (fref, D(37), tdc_q(37));
ff43:ff_D port map (fref, D(39), tdc_q(39));
ff44:ff_D port map (fref, D(41), tdc_q(41));
ff45:ff_D port map (fref, D(43), tdc_q(43));
ff46:ff_D port map (fref, D(45), tdc_q(45));
ff47:ff_D port map (fref, D(47), tdc_q(47));
ff48:ff_D port map (fref, D(49), tdc_q(49));
ff49:ff_D port map (fref, D(51), tdc_q(51));
ff50:ff_D port map (fref, D(53), tdc_q(53));
ff55:ff_D port map (fref, D(55), tdc_q(55));
ff57:ff_D port map (fref, D(57), tdc_q(57));
p1:process (ckr)
variable D2:std_logic_vector(DTDC downto 0);
variable tr:integer;
variable tf:integer;
variable period:integer;
variable complement:integer;
variable inv_period:integer;
variable producto:integer;
begin
if (rising_edge (ckr))then
D2:=tdc_q;
-- detección de transición de 1 a 0
for k in 1 to DTDC loop
if D2(k-1)='1' and D2(k)='0' then
tr:=k;
exit;
end if;
end loop;
-- detección de transición de 0 a 1
for k in 1 to DTDC loop
if D2(k-1)='0' and D2(k)='1' then
tf:=k;
exit;
end if;
end loop;
-- calcula el periodo instantáneo del oscilador
if tr>tf then
period:=2*(tr-tf);
elsif tr=tf then
period:=1;
else
period:=2*(tf-tr);
end if;
--cálculo del error fraccional normalizado a wf
inv_period:=(2**wf)/period;
producto:=tr*inv_period;
complement:=(2**wf)-producto;
ek<=conv_std_logic_vector(complement,wf);
end if;
end process;
end;
109
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
--inversor
entity inv is
generic (delay_inv:time:= 20 ps);
port (x:in std_logic; y:out std_logic);
end entity inv;
architecture inv_beh of inv is
begin
y<= not(x) after delay_inv;
end architecture inv_beh;
entity ff_Dn is
port(fref:in std_logic; A:in std_logic;
Qn:out std_logic);
end ff_Dn;
architecture ff_Dn_beh of ff_Dn is
begin
process(fref)
begin
if (fref'event and fref='1') then
Qn<= not(A);
end if;
end process;
end architecture ff_Dn_beh;
LIBRARY ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity detector_fase is
generic(
wi:integer:=8;
110
wf:integer:=10);
port(
Rvk,Rrik:in std_logic_vector(wi-1 downto 0):=(others=>'0');
Rrfk,ek:in std_logic_vector(wf-1 downto 0);
wint:out std_logic_vector(wi-1 downto 0):=(others=>'0');
wfrac:out std_logic_vector(wf-1 downto 0):=(others=>'0')
);
end entity detector_fase;
LIBRARY ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity filtro is
generic(
wi:integer:=8;
wf:integer:=10;
kp:integer:=1);
port(
wint:in std_logic_vector(wi-1 downto 0):=(others=>'0');
wfrac:in std_logic_vector(wf-1 downto 0):=(others=>'0');
wint2:out integer:=0;
wfrac2:out std_logic_vector(wf-1 downto 0):=(others=>'0')
);
end entity;
111
7.- DAC
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity model_dac is
generic (
wi:integer:=8;
wf:integer:=10;
resolucion: time:=1 fs;
dco_r:integer:=349);
port (
wint2:in integer:=0;
frac_sd: in std_logic;
dev_per:out time:=0 fs
);
end entity;
architecture behav of model_dac is
begin
p1:process (wint2,frac_sd)
variable frac_sd_p,per_wint,per_frac:integer;
begin
if frac_sd='1' then
frac_sd_p:=1;
else
frac_sd_p:=0;
end if ;
per_wint:=wint2*dco_r;
per_frac:=frac_sd_p*dco_r;
dev_per<=resolucion*(per_wint+per_frac);
end process;
end behav;
library ieee;
use ieee.std_logic_1164.all;
entity pco is
generic (
period_0: time:=1024590 fs
);
port (
dev_per: in time:=0 fs ;
ckv : out std_logic);
end entity;
112
ckv <= '0', '1' after periodo/2;
delta <= not delta after periodo;
else
periodo := period_0; -- periodo inicial
ckv <= '0'; -- flanco inicial del reloj
delta <= transport '1';
inicio:= false;
end if;
end process;
end;
9.- Modulador
LIBRARY ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity modulador is
generic (
wf:integer:=10);
port(
wfrac2:in std_logic_vector(wf-1 downto 0):=(others=>'0');
ckv:in std_logic;
frac_sd:out std_logic:='0');
end modulador;
begin
dato2<=('0'&wfrac2)+('0'&delay2);
error<=dato2(wf-1 downto 0);
frac_sd<=dato2(wf);
p1:process (ckv)
begin
if (rising_edge (ckv))then
delay1<=error;
delay2<=delay1;
end if;
end process;
end;
113
114
Índice de Figuras
115
Figura 3.17 Señal de salida diferencial del VCO degenerada en fase. ................................................... 46
Figura 3.18 Curva de transferencia del oscilador degenerado en fase. ................................................ 47
Figura 3.19 Consumo de potencia promedio del oscilador degenerado en fase. ................................. 47
Figura 3.20 Variación de la frecuencia con el voltaje de alimentación. ................................................ 48
Figura 3.21 Efecto de la temperatura en el oscilador por degeneración en fase. ................................. 48
Figura 3.22 Efecto de variaciones de proceso en el oscilador por degeneración en fase. .................... 49
Figura 3.23 FGT en la celda degenerada en fase. .................................................................................. 51
Figura 3.24 Carga modificada: (a) topología, (b) modelo de pequeña señal......................................... 52
Figura 3.25 Respuesta en frecuencia de la función de transferencia. ................................................... 54
Figura 3.26 Oscilador de dos etapas con degeneración en fase. .......................................................... 55
Figura 3.27 Simulación de la celda degenerada en fase ........................................................................ 56
Figura 3.28 Oscilador de dos etapas degeneradas en fase modificada. ................................................ 57
Figura 3.29 Celda de retardo con QFGT. ............................................................................................... 58
Figura 3.30 Circuito completo del oscilador de prueba. ....................................................................... 59
Figura 3.31 Simulación transitoria del circuito completo ...................................................................... 60
Figura 3.32 Función de transferencia (frecuencia vs voltaje de control). ............................................. 60
Figura 3.33 Consumo de potencia del VCO (potencia vs frecuencia). ................................................... 61
Figura 3.34 Efecto de la temperatura. ................................................................................................... 62
Figura 3.35 Efectos del voltaje de alimentación (frecuencia vs Vdd). ................................................... 63
Figura 3.36 Variación de la función de transferencia frente a variaciones de proceso. ....................... 63
Figura 3.37 Ruido de fase. ..................................................................................................................... 64
Figura 3.38 Layout de la celda básica. ................................................................................................... 65
Figura 3.39 Layout completo del oscilador junto con circuitos auxiliares para su fabricación. ............ 66
Figura 3.40 Seguidor de voltaje controlado digitalmente (SVCD). ........................................................ 67
Figura 3.41 Acoplador de niveles .......................................................................................................... 68
Figura 3.42 Celda de retardo controlada digitalmente (CRCD). ............................................................ 69
Figura 3.43 DCO. .................................................................................................................................... 70
Figura 3.44 Función de transferencia del entonado fino. .................................................................... 70
Figura 3.45 Señales en cuadratura del DCO. ......................................................................................... 71
Figura 3.46 Función de transferencia del entonado fuerte. .................................................................. 71
Figura 3.47 Consumo de potencia promedio del DCO. ......................................................................... 72
Figura 3.48 Función de transferencia frente a variación de procesos................................................... 72
Figura 3.49 Función de transferencia frente a variaciones de Vdd. ....................................................... 73
Figura 3.50 Función de transferencia frente a variaciones de temperatura. ........................................ 74
116
Figura 3.51 Ruido de fase a una frecuencia de oscilación de 1GHz. ..................................................... 75
Figura 4.1 Sintetizador de frecuencia basado en ADPLL [ 22 ] .............................................................. 79
Figura 4.2 Circuito tanque LC con banco de capacitores discretos para diferentes modos de control [
23 ]. .............................................................................................................................................. 79
Figura 4.3 VCO dentro de una arquitectura de ADPLL. ......................................................................... 80
Figura 4.4 Generación del reloj de sincronización por muestreo de FREF [ 22 ]. .................................. 80
Figura 4.5 Evolución de señales para FCW=2 + (1/4) [ 22 ]. .................................................................. 81
Figura 4.6 Implementación en hardware del detector de fase. ............................................................ 82
Figura 4.7 Estructura del acumulador de referencia. ............................................................................ 83
Figura 4.8 Esquema general del acumulador de fase variable. ............................................................. 84
Figura 4.9 Convertidor de tiempo a digital [ 24 ]. ................................................................................. 84
Figura 4.10 Estimación del error fraccional mostrando ambos casos de error de fase de un clásico PLL
[ 24 ]. ............................................................................................................................................ 85
Figura 4.11 Estructura de la corrección de error fraccional. ................................................................. 86
Figura 4.12 Estructura del detector de fase. ......................................................................................... 87
Figura 4.13 Estructura del control del DCO. .......................................................................................... 87
Figura 4.14 Modulador sigma-delta. ..................................................................................................... 88
Figura 4.15 Estructura del DCO. ............................................................................................................ 88
Figura 4.16 Estructura completa del ADPLL .......................................................................................... 89
Figura 4.17 Frecuencia de salida del ADPLL .......................................................................................... 90
Figura 4.18 Frecuencia de salida del ADPLL para N fraccional .............................................................. 90
117
118
Índice de tablas
Tabla 3.1 Relación de dimensionamiento de los transistores de control ............................................. 67
Tabla A- 1 Dimensiones del circuito de polarización ............................................................................. 98
Tabla A- 2 Dimensiones de los transistores de la celda de retardo del oscilador por degeneración en
fase. ............................................................................................................................................. 98
Tabla A- 3 Dimensiones del circuito de polarización y del buffer de entrada ....................................... 99
Tabla A- 4 Dimensiones de los transistores del buffer de salida ........................................................... 99
Tabla A- 5 Dimensiones de los transistores de la celda básica del oscilador a 915MHz ..................... 100
Tabla A- 6 Dimensiones de los transistores del circuito de polarización ............................................ 101
Tabla A- 7 Dimensiones de los transistores del acoplador de niveles (Figura 3.41) ........................... 101
Tabla A- 8 Dimensiones de los transistores de la celda de retardo del DCO ...................................... 102
119
120
Referencias
121
[ 16 ] J. Ramirez-Angulo, A.J. Lopez-Martin, R.G. Carvajal, and F.M. Chavero, "Very low-voltage analog
signal processing based on quasifloating gate transistors." IEEE J.Sol. State Circuits vol. 39, 2004,
pp. 434- 442.
[ 17 ] A Paul, A.E Jeyakumar, P.N. Neelakantan, "Power Minimization Strategy in MOS Transistors
Using Quasi-Floating-Gate" in WSEAS Transaction on Circuits and Systems, 2004, pp. 65-73.
[ 18 ] G. Palmisano, G. Palumbo, and S. Pennisi “High-Performance and Simple CMOS Unity-Gain
Amplifier” IEEE Transactions on circuits and systems I: Fundamental theory and aplications, Vol
47 No. 3, March 2000, pp 406-410.
[ 19 ] Ken Gentile. ¨Fundamental of Digital Quadrature Modulation¨. February 2003-
www.rfdesign.com.
[ 20 ] J. Ramirez-Angulo et al., “Comparison of conventional and new flipped voltage structures with
increased input/output signal swing and current sourcing/sinking capabilities” in Proc. IEEE Int.
Sym. Circuits Syst., vol. 2, Aug. 2005, pp. 1151-1154.
[ 21 ] Douglas J. Smith, HDL Chip Design: A practical guide for designing, synthesizing and simulating
ASICs and FPGAs using VHDL or Verilog. Doone Publications, 1996.
[ 22 ] R. B. Staszewski and P. T. Balsara, “Phase-domain all-digital phaselocked loop,” IEEE Trans.
Circuits Syst. II, Exp. Briefs, vol. 52, no. 3, Mar. 2005, pp. 159–163.
[ 23 ] Straszewski et al., “A First Multigigahertz Digitally Controlled Oscillator for Wireless
Applications,” IEEE Transactions on Microwave Theory and Techniques, vol. 51, No. 11, Nov.
2003, pp. 2154-2164.
[ 24 ] R. B. Staszewski et al., “All-digital TX frequency synthesizer and discrete-time receiver for
BLUETOOTH radio in 130-nm CMOS”, IEEE Journal of Solid-State Circuits, vol. 39, no. 12, Dec.
2004, pp. 2278–2291.
122
BIBLIOGRAFIA
[ 5 ] Thomas H. Lee and Ali Hajimiri. “Oscillator Phase Noise: A tutorial” IEEE
journal of solid –state circuits, vol.35, No.3, march 2000, pp. 326-336.
[ 6 ] Ali Hajimiri and Thomas H. Lee. The design of low noise oscillators.
Kluwer Academic publishers. Boston/Dordrecht/London, 2000.
123
[ 11 ] V. Ramakrishnan, Poras T. Balsara “A Wide-Range, High-Resolution,
Compact, CMOS Time to Digital Converter” VLSI Design 2006, pp. 197-202.
124
[ 20 ] J. Ramirez-Angulo et al., “Comparison of conventional and new flipped
voltage structures with increased input/output signal swing and current
sourcing/sinking capabilities” in Proc. IEEE Int. Sym. Circuits Syst., vol. 2,
Aug. 2005, pp. 1151-1154.
125