ADE Lab
ADE Lab
Chikkamagaluru-577102
LAB MANUAL
(2019-20)
18CSL37
ANALOG AND DIGITAL ELECTRONICS
LABORATORY
III Semester
III SEMESTER
__________ __________
Mr. Suman M.H B.E M.Tech. Dr. Sampath S M.Tech., PhD
Asst. Professor Professor & HOD
Dept. of IS&E Dept. of IS&E
A.I.T Chikkamagaluru A.I.T Chikkamagaluru
Analog & Digital Electronics Lab Manual 18CSL37
Course objectives: This laboratory course enables students to get practical experience in design,
assembly and evaluation/testing of :
• Analog components and circuits including Operational Amplifier, Timer, etc.
• Combinational logic circuits.
• Flip - Flops and their operations
• Counters and registers using flip-flops.
• Synchronous and Asynchronous sequential circuits.
• A/D and D/A converters
Descriptions (if any)
Any simulation package like MultiSim / P-spice /Equivalent software may be used.
Faculty-in-charge should demonstrate and explain the required hardware components and their
functional Block diagrams, timing diagrams etc. Students have to prepare a write-up on the same
and include it in the Lab record and to be evaluated.
Laboratory Session-1: Write-upon analog components; functional block diagram, Pin diagram
(if any), waveforms and description. The same information is also taught in theory class; this
helps the students to understand better.
Laboratory Session-2: Write-upon Logic design components, pin diagram (if any), Timing
diagrams, etc. The same information is also taught in theory class; this helps the students to
understand better.
Note: These TWO Laboratory sessions are used to fill the gap between theory classes and
practical sessions. Both sessions are to be evaluated for 20 marks as lab experiments.
CONTENTS
Page
Sl. No. Program
No.
1 Introduction to ADE laboratory 4–9
Course outcomes:
On the completion of this laboratory course, the students will be able to:
• Use various Electronic Devices like Cathode ray Oscilloscope, Signal generators, Digital
Trainer Kit, Multimeters and components like Resistors, Capacitors, Op amp and Integrated
Circuit.
• Design and demonstrate various combinational logic circuits.
• Design and demonstrate various types of counters and Registers using Flip-flops
Use simulation package to design circuits.
ELECTRONIC COMPONENTS
1. RESISTORS
A resistor is a component of an electrical circuit that resists the flow of electrical current. A
resistor has two terminals across which electricity must pass, and is designed to drop the voltage
of the current as it flows from one terminal to the next. A resistor is primarily used to create and
maintain a known safe current within an electrical component.
Resistance is measured in ohms, after Ohm's law. A 1000 Ohm resistor is typically shown as
1K-Ohm (kilo Ohm), and 1000 K-Ohms is written as 1M-Ohm (mega ohm).
2. Capacitors
The capacitor's capacitance (C) is a measure of the amount of charge (Q) stored on each plate for
a given potential difference or voltage (V) which appears across the plates. In SI units, a capacitor
has a capacitance is measured in farad (F).
3. Breadboard
4. Power Supply
A power supply is a separate u nit or part of a circuit that supplies power to the rest of the circuit
or to a system. The power supply takes the current from your wall electrical socket and converts
it into the various voltages your circuit needs.
5. Multimeter
6. Signal/Function Generator
A function generator is a device that can produce various patterns of voltage at a variety of
frequencies and amplitudes. It is used to test the response of circuits to common input signals.
The electrical leads from the de vice are attached to the ground and signal input terminals of the
device under test.
Most function generators allow the user to choose the shape of the output from a small number of
options.
• Square wave - The signal goes directly from high to low voltage.
• Sine wave - The signal curves like a sinusoid from high to low voltage.
• Triangle wave - The signal goes from high to low voltage at a fixed rate.
The amplitude control on a function generator varies the voltage difference between the high and
low voltage of the output signal. The frequency control of a function generator controls the rate at
which output signal oscillates.
Most function generators allow the user to choose the shape of the output from a small number of
options.
•Square wave - The signal goes directly from high to low voltage.
•Sine wave - The signal curves like a sinusoid from
high to low voltage.
•Triangle wave - The signal goes from high to low
voltage at a fixed rate.
The amplitude control on a function generator varies the voltage difference between the high and
low voltage of the output signal. The frequency control of a function generator controls the rate at
which output signal oscillates.
Switch on the function generator and adjust the output level to produce a visible signal on the
oscilloscope screen. Adjust TIME/DIV and VOLTS/DIV to obtain a clear display and investigate
the effects of pressing the waveform shape buttons.
The rotating FREQUENCY control and the RANGE switch are used together to determine the
frequency of the output signal.
7. Oscilloscope
An oscilloscope is easily the most useful instrument available for testing circuits because it
allows you to see the signals at different points in the circuit. The best way of investigating an
electronic system is to monitor signals at the input and output of each system block, checking that
each block is operating as expected and is correctly linked to the next.
iii. Set both VOLTS/DIV controls to 1 V/DIV and the TIME/DIV contr ol to 2 s/DIV, its
slowest setting:
VOLTS/DIV TIME/DIV
iv. Switch ON, red button, top center:
The green LED illuminates and, after a few moments, you should see a small bright spot, or trace,
moving fairly slowly across the screen.
The Y-POS 1 allows you to move the spot up and down the screen. For the present, adjust the
trace so that it runs horizontally across the center of the screen.
When these are correctly set, the spot will be reasonably bright but not glaring, and as sharply focused
as possible. (The TR control is screwdriver adjusted. It is only needed if the spot moves at an angle
rather than horizontally across the screen with no signal connected.)
Adjusting the INTENSITY control changes the brightness of the oscilloscope display.
The FOCUS should be set to produce a bright clear trace. If required, TR can be adjusted using a
small screwdriver so that the oscilloscope trace is exactly horizontal when no signal is connected.
vii. The TIME/DIV control determines the horizontal scale of the graph which appears
on the oscilloscope screen.
viii. The VOLTS/DIV controls determine the vertical scale of the graph drawn on the
oscilloscope screen.
The diagram shows a lead with a BNC plug at one end and crocodile clips at the other.
Adjust VOLTS/DIV and TIME/DIV until you obtain a clear picture of the signal, which
should look like this:
DC/AC/GND slide switches: In the DC position, the signal input is connected directly
to the Y-amplifier of the corresponding channel, CH I or CH II. In the AC position, a
capacitor is connected into the signal pathway so that DC voltages are blocked and only
changing AC signals are displayed.
In the GND position, the input of the Y-amplifier is connected to 0 V. This allows you to
check the position of 0 V on the oscilloscope screen.
Trace selection switches: The settings of these switches control which traces
appear on the oscilloscope screen.
COMPONENTS REQUIRED:
THEORY:
Circuit that takes the logical decision and the process are called logic gates. Each gate has
one or more input and only one output. OR, AND, NOT are basic gates. NAND, NOR and X-OR
are known as universal gates. Basic gates form these gates.
AND GATE:
The AND gate performs a logical multiplication commonly known as AND function. The
output is high when both the inputs are high. The output is low level when any one of the inputs
is low.
OR GATE:
The OR gate performs a logical addition commonly known as OR function. The output is high when
any one of the inputs is high. The output is low level when both the inputs are low.
NOT GATE:
The NOT gate is called an inverter. The output is high when the input is low. The
output is low when the input is high.
NAND GATE:
The NAND gate is a contraction of AND-NOT. The output is high when both inputs are
low and any one of the input is low .The output is low level when both inputs are high.
NOR GATE:
The NOR gate is a contraction of OR-NOT. The output is high when both inputs are low.
The output is low when one or both inputs are high.
X-OR GATE:
The output is high when any one of the inputs is high. The output is low when both the
inputs are low and both the inputs are high.
PROCEDURE:
AND GATE:
OR GATE:
NOT GATE:
X-OR GATE:
NOR GATE:
EXPERIMENT 1
AIM: Design and implement an astable multivibrator using 555 Timer for a given frequency and
duty cycle.
COMPONENTS REQUIRED: 555 Timer IC, Resistors of 3.3KΩ, 6.8KΩ, Capacitors of 0.1
µF, 0.01 µF, Regulated power supply, CRO.
DESIGN:
Therefore T=1/f=1ms=Ton+Toff=1ms
Ton=0.693(RA+RB) C
Duty cycle = Ton / T = 0.75. Hence Ton = 0.75T = 0.75 ms and Toff = T – TC = 0.25ms.
The Vcc determines the upper and lower threshold voltages (observed from the capacitor
UT 3CC LT 3CC
Note: The duty cycle determined by RA& RB can vary only between 50 & 100%. If RA is
much smaller than RB, the duty cycle approaches 50%.
DESIGN:
To achieve a duty cycle of less t han or equal to 50% is to connect a diode D across resistor RB
In this case, the capacitor C charges through RA and diode D to approximately 2/3 Vcc and
discharges through RB until the capacitor voltage equals approximately 1/3 Vcc, after which the
cycle repeats.
THEORY:
Multivibrator is a form of oscillator, which has a non-sinusoidal output. The output waveform is
rectangular. The multivibrators are classified as: Astable or free running multivibrator: It alternates
automatically between two states (low and high for a rectangular output) and remains in each state for
a time dependent upon the circuit constants. It is just an oscillator as it requires no external pulse for
its operation. Monostable or one shot multivibrator: It has one stable state and one quasi stable. The
application of an input pulse triggers the circuit time constants. After a period of time determined by
the time constant, the circuit returns to its initial stable state. The process is repeated upon the
application of each trigger pulse. Bistable Multivibrators: It has both stable states. It requires the
application of an external triggering pulse to change the output from one state to other. After the
output has changed its state, it remains in that state until the application of next trigger pulse. Flip
flop is an example.
PROCEDURE:
RESULT:
WAVEFORMS
EXPERIMENT 2
AIM: Design and construct a rectangular waveform generator (op-amp relaxation oscillator) for a
given frequency and demonstrate its working.
COMPONENTS REQUIRED:
DESIGN:
1+β
The period of the output rectangular wave is given as T = 2RC ln -------(1)
1−β
Where, β = R1 is the feedback fraction
R1 + R2
Choose next a value of C and then calculate value of R from equation (2).
T 10−3
Let C=0.1µF (i.e., 10-7), then R = = = 5KΩ
2C 2 × 10−7
R1
V
The voltage across the capacitor has a peak voltage of Vc = R1 + R2 sat
Values
C=0.1μF
WAVEFORMS
THEORY:
Op-Amp Relaxation Oscillator is a simple Square wave generator which is also called as a
Free running oscillator or Astable multivibrator or Relaxation oscillator. In this figure the op-amp
operates in the saturation region. Here, a fraction (R2/(R1+R2)) of output is fed back to the non-
inverting input terminal. Thus reference voltage is (R2/(R1+R2)) Vo and may take values as
+(R2/(R1+R2)) Vsat or - (R2/(R1+R2)) Vsat. The output is also fed back to the inverting input
terminal after integrating by means of a low-pass RC combination. Thus whenever the voltage at
inverting input terminal just exceeds reference voltage, switching takes place resulting in a square
wave output.
PROCEDURE:
1. Before making the connections check all the components using multimeter.
2. Make the connections as shown in figure and switch on the power supply.
3. Observe the voltage waveform across the capacitor on CRO.
4. Also observe the output waveform on CRO. Measure its amplitude and frequency.
RESULT:
Output:
EXPERIMENT 3
SCHMITT TRIGGER
AIM: Design and construct a Schmitt trigger circuit using op-amp for the given UTP
and LTP values and demonstrate its working..
DESIGN:
R1 + R2 R1 + R2
Hence given the LTP & UTP values to find the R1 , R2 & Vref values, the following design is used.
2R V 1 ref
UTP + LTP = - - - - - -(1)
R1 + R 2
2R1Vsat
UTP − LTP = - - - - - -(2)
R1 + R 2
Let Vsat = 12V, UTP = 2.5 V & L TP = 1V, then equation (2) yields R1 =
15R2 Let R2 = 1KΩ, then R1 = 15KΩ
( )
From equation (1) we have Vref = UTP + LTP)(R1 + R2 = 1.88V
2R1
DESIGN 2)
R1 + R2 R1 + R2
Hence given the LTP & UTP values to find the R1 , R2 & Vref values, the following design is used.
2R1Vref
UTP + LTP = - - - - - -(1)
R1 + R2
2R1Vsat
UTP − LTP = - - - - - -(2)
R1 + R2
Let Vsat = 12V, UTP = 2 V & LTP = -1V, then equation (2) yields R1 = 7R2
Let R2 = 1KΩ, then R1 = 7KΩ
(UTP + LTP)(R1 + R2 )
From equation (1) we have Vref = = 0.57V
2R1
Design 3) Let given UTP = 4V, LTP= 2V. Assume Vsat = 12 V
R1Vref
R V sat
UTP = + 2
where V is the positive saturation of the opamp
sat
R1 + R2 R1 + R2
R1Vref
RV
−
2 sat
& LTP =
R1 + R2 R1 + R2
Hence given the LTP & UTP values to find the R1 , R2 & Vref values, the following design is used.
2R1Vref
UTP + LTP = - - - - - -(1)
R1
+ R2
2R1Vsat
UTP − LTP = - - - - - -(2)
R1
+ R2
Let Vsat = 12V, UTP = 4 V & LTP = 2V, then equation (2) yields R1 =
9R2 R2 = 10KΩ, then R1 = 90KΩ
(UTP + LTP)(R1 + R2 )
From equation (1) we have Vref = = 3.33V
2R1
THEORY:
Schmitt Trigger converts s an irregular shaped waveform to a square wave or pulse. Here, the
input voltage triggers the output voltage every time it exceeds certain voltage levels called the upper
threshold voltage VUTP and lower threshold voltage VLTP. The input voltage is applied to the
inverting input. Because the feedback voltage is aiding the input voltage, the feedback is positive. A
comparator using positive feedback is usually called a Schmitt Trigger. Schmitt Trigger is used
as a squaring circuit, in digital circuitry, amplitude comparator, etc.
PROCEDURE:
1. Before doing the connections, check all the components using multimeter.
2. Make the connection as shown in circuit diagram.
3. Using a signal generator apply the sinusoidal input waveform of peak-to-peak amplitude of
10V, frequency 1kHz.
4. Keep the CRO in dual mode; apply input (Vin) signal to the channel 1 an d observe the
output (Vo) on channel 2 which is as shown in the waveform below. Note the
amplitude levels from the waveforms.
5. Now keep CRO in X-Y mode and observe the hysteresis curve.
Waveforms:
CRO in DUAL mode CRO in X-Y mode showing the Hysteresis curve.
Output:
EXPERIMENT NO.4
To design and construct half adder, full adder, half subtractor and full subtractor
circuits and verify the truth table using logic gates.
COMPONENTS REQUIRED:
THEORY:
HALF ADDER:
A half adder has two inputs for the two bits to be added and two outputs one from the sum
‘ S’ and other from the carry ‘ c’ into the higher adder position. Above circuit is called as a carry
signal from the addition of the less significant bits sum from the X-OR Gate the carry out from
the AND gate.
FULL ADDER:
A full adder is a combinational circuit that forms the arithmetic sum of input; it consists of
three inputs and two outputs. A full adder is useful to add three bits at a time but a half adder
cannot do so. In full adder sum output will be taken from X-OR Gate, carry output will be taken
from OR Gate.
HALF SUBTRACTOR:
The half subtractor is constructed using X-OR and AND Gate. The half subtractor has two
input and two outputs. The outputs are difference and borrow. The difference can be applied
using X-OR Gate, borrow output can be implemented using an AND Gate and an inverter.
FULL SUBTRACTOR:
The full subtractor is a combination of X-OR, AND, OR, NOT Gates. In a full subtractor the
logic circuit should have three inputs and two outputs. The two half subtractor put together gives a full
subtractor .The first half subtractor will be C and A B. The output will be difference output of full
subtractor. The expression AB assembles the borrow output of the half subtractor and the second term
is the inverted difference output of first X-OR.
LOGIC DIAGRAM:
A B CARRY SUM
0 0 0 0
0 1 0 1
1 0 0 1
1 1 1 0
FULL ADDER
LOGIC DIAGRAM:
TRUTH TABLE:
A B C CARRY SUM
0 0 0 0 0
0 0 1 0 1
0 1 0 0 1
0 1 1 1 0
1 0 0 0 1
1 0 1 1 0
1 1 0 1 0
1 1 1 1 1
LOGIC DIAGRAM:
HALF SUBTRACTOR
TRUTH TABLE:
A B BORROW DIFFERENCE
0 0 0 0
0 1 1 1
1 0 0 1
1 1 0 0
LOGIC DIAGRAM:
FULL SUBTRACTOR
TRUTH TABLE:
A B C BORROW DIFFERENCE
0 0 0 0 0
0 0 1 1 1
0 1 0 1 1
0 1 1 1 0
1 0 0 0 1
1 0 1 0 0
1 1 0 0 0
1 1 1 1 1
PROCEDURE:
RESULT:
HALF ADDER :
entity half_adder is
part (A,B: in std_logic);
SUM,CARRY:out std_logic;
end half_adder;
HALF SUBTRACTOR :
entity half_subtractor is
part (A,B: in std_logic);
Dout, Bout :out std_logic;
end half_ subtractor;
FULL ADDER:
entity full_adder is
part (A,B,C: in std_logic);
SUM,CARRY:out std_logic;
end full_adder;
FULL SUBTRACTOR :
entity full_subtractor is
part (A,B: in std_logic);
Dout, Bout :out std_logic;
end full_ subtractor;
EXPERIMENT 5
AIM: To simplify a given 4-variable logic expression using Entered Variable Map and to realize
the simplify logic expression using 8:1 multiplexer IC.
COMPONENTS REQUIRED:
THEORY:
Multiplex means many into one. A multiplexer is a circuit with many inputs but only one output.
The inputs of the multiplexer are divided into two categories namely, data inputs and select
inputs. A multiplexer having ‘n’ data inputs have ‘m’ control signals such that n≤ 2m. Depending
on the value of the select inputs, data on one of the ‘n’ inputs is steered to the output. The figure
shows the block diagram of a multiplexer.
Multiplexer can be used to implement any logic expression. Commercial multiplexer ICs
come in integer power of 2, e.g. 2-to-1, 4-to-1, 8-to-1, 16-to-1 multiplexers. Hence to implement
a logic expression with ‘n’ variables, a multiplexer with ‘n’ select inputs is needed i.e. 2n – to-1
multiplexer. Hence it is called as universal logic circuit.
PIN DIAGRAM
74151
DESIGN:
Step 1: Any 3 variables are fed as select inputs. The fourth variable is then the data input. In the
example, variables A, B and C are selected as the select inputs and D the data input.
Step 2:
ii. For each value of the 4th variable D, write the corresponding output. (Row 2 & 3)
Example 2)
Method 2)
f (A,B,C,D) = Σm(2,3,4,5,13,15) + d(8,9,10,11).
If 2 is marked and 10 is not circled , then take the value of that row which is circled. In
this example, 2 is circled �consider its corresponding row =A’ as value of D2.�D2 = A’
11 is marked and 3 is not marked. Hence take 11’s value = A .�D3 =A.
PROCEDURE:
1. Simplify the given logic expression using Map entered variable map.
2. Check all the IC components using digital IC tester.
3. Make connections as per the circuit diagram.
4. Give supply to the trainer kit.
5. Provide input data to the circuit via switches
6. Verify the truth table sequence. Observe the outputs.
RESULT:
Design and develop the verilog / VHDL code for an 8:1 multiplexer. Simulate and verify
its working.
VHDL Code:
entity mux8to1 is
Port (sel : in STD_LOGIC_VECTOR (2 downto 0);
I : in STD_LOGIC_ VECTOR (7 downto O);
Zout : out STD_LOGIC);
end mux8to1;
begin
Zout <=I(0) when sel ="000" else
I(1) when sel ="001" else
I(2) when sel ="010" else
I(3) when sel ="011" else
I(4) when sel ="100" else
I(5) when sel ="101" else
I(6) when sel ="110" else
I(7);
end Behavioral;
EXPERIMENT NO 8
J-K MASTER/SLAVE FF USING NAND GATES
AIM: To realize a J-K Master/Slave flip flop using NAND gates and verify its truth table.
COMPONENTS USED:
THEORY:
The Q and Q' outputs will only change state on the falling edge of the CLK signal, and the J
and K inputs will control the future output
If both the J and K inputs are held at logic 1 and the CLK signal continues to change, the Q
and Q' outputs will simply change state with each falling edge of the CLK signal. (The
master latch circuit will change state with each rising edge of CLK.)
A JK master flip flop is positive edge triggered, whereas slave is negative edge triggered.
Therefore master first responds to J and K inputs and then slave. If J=0 and K=1, master resets on
arrival of positive clock edge. High output of the master drives the K input of the slave. For the
trailing edge of the clock pulse the slave is forced to reset. If both the inputs are high, it changes
the state or toggles on the arrival of the positive clock edge and the slave toggles on the negative
clock edge. The slave does exactly what the master does.
---
Clk J K Q Comment
Q
----
0 0 Q0 No change
Q0
0 1 0 1 Reset
1 0 1 0 Set
1 1 Q0 Q0 toggle
RESULT:
Design and develop the verilog / VHDL code for D Flip-Flop with positive-edge
triggering. Simulate and verify its working.
VHDL Code:
entity ddflipflop is
Port (clk, D : in STD_LOGIC;
Q : inout STD_LOGIC);
Qbar : out STD_LOGIC);
end ddflipflop;
begin
process(clk)
begin
if(rising_edge (clk)) then
Q<=D;
end if;
end process;
Qbar<=not Q;
end Behavioral;
EXPERIMENT NO.: 7
THEORY:
A code converter is a circuit that makes the two systems compatible even though each
uses a different binary code. Each one of the four maps represents one of the four outputs of the
circuit as a function of the four input variables. A two-level logic diagram may be obtained
directly from the Boolean expressions derived by the maps.
The input variable are designated as B3, B2, B1, B0 and the output variables are
designated as C3, C2, C1, Co. from the truth table, combinational circuit is designed. The
Boolean functions are obtained from K-Map for each output variable.
Steps:The example shows the steps involved in conversion of a binary code to its gray code.
Binary code taken for the example is 1011.In the conversion process the most significant bit
(MSB) of the binary code is taken as the MSB of the Gray code. The bit positions G2, G1 and G0
is obtained by adding (B3, B2),(B2, B1) and (B1, B0) respectively, ignoring the carry generated.
From the K-Map simplification
TRUTH TABLE:
B3 B2 B1 B0 G3 G2 G1 G0
0 0 0 0 0 0 0 0
0 0 0 1 0 0 0 1
0 0 1 0 0 0 1 1
0 0 1 1 0 0 1 0
0 1 0 0 0 1 1 0
0 1 0 1 0 1 1 1
0 1 1 0 0 1 0 1
0 1 1 1 0 1 0 0
1 0 0 0 1 1 0 0
1 0 0 1 1 1 0 1
1 0 1 0 1 1 1 1
1 0 1 1 1 1 1 0
1 1 0 0 1 0 1 0
1 1 0 1 1 0 1 1
1 1 1 0 1 0 0 1
1 1 1 1 1 0 0 0
For binary to Gray code convers ion the following Boolean expressions are obtained,
LOGIC DIAGRAM:
BINARY TO GRAY CODE C ONVERTOR
The example shows the steps inv olved in conversion of a Gray code to binary co de.
TRUTH TABLE:
G3 G2 G1 G0 B3 B2 B1 B0
0 0 0 0 0 0 0 0
0 0 0 1 0 0 0 1
0 0 1 1 0 0 1 0
0 0 1 0 0 0 1 1
0 1 1 0 0 1 0 0
0 1 1 1 0 1 0 1
0 1 0 1 0 1 1 0
0 1 0 0 0 1 1 1
1 1 0 0 1 0 0 0
1 1 0 1 1 0 0 1
1 1 1 1 1 0 1 0
1 1 1 0 1 0 1 1
1 0 1 0 1 1 0 0
1 0 1 1 1 1 0 1
1 0 0 1 1 1 1 0
1 0 0 0 1 1 1 1
B3 = G3
LOGIC DIAGRAM:
GRAY CODE TO BINARY CONVERTOR
In the conversion process the most significant bit (MSB) of the Gray code is taken as the MSB of
the binary code. The bit positions B2, B1 and B0 is obtained by adding (B3, G2), (B2, G1) and
(B1, G0) respectively, ignoring the carry generated. From the K-Map simplification for Gray
code to binary code conversion the following Boolean expressions are obtained,
PROCEDURE:
RESULT:
Binary to Gray and Gray to Binary converters are designed, constructed using logic gates
and their truth table was verified.
EXPERIMENT NO: 8
SYNCHRONOUS UP COUNTER
AIM: Design and implement a mod n (n<8) synchronous up counter using JK FF IC’s and
demonstrate its working.
COMPONENTS USED: IC 74LS76, IC 74LS08, Patch chords, power chords, and Trainer kit.
THEORY
In digital logic and computing, a counter is a device which stores and displays the number of
times a particular event or process has occurred, often in relationship to a clock signal.
A synchronous counter is one whose output bits change state simultaneously. Such a
counter circuit can be built from JK flip-flop by connecting all the clock inputs together, so that
each and every flip-flop receives the exact same clock pulse at the exact same time. This results
in all the individual output bits changing state at exactly the same time in response to the common
clock signal with no ripple effect i.e. with no propagation delay.
By examining the four-bit binary count sequence, it noticed that just before a bit toggles, all
preceding bits are "high". That is a synchronous up-counter can be implemented by toggling the
bit when all of the less significant bits are at a logic high state. For example, bit 1 toggles when
bit 0 is logic high; bit 2 toggles when both bit 1 and bit 0 are logic high; bit 3 toggles when bit 2,
bit 1 and bit 0 are all high; and so on.
PR CLR CLK J K Q Q’
L H X X X H L
H L X X X L H
L L X X X H H
H H L L Q0 Q0’
H H H L H L
H H L H L H
H H H H Toggle
IC: 7408
Mod-5 Counter Synchronous Counter: This have five counter states. The counter design table for
such counter shows the three flip-flop and their states also (0 to 4 states). 6 inputs needed for the
three flip-flops.
0 0 0 0 0 1 0 x 0 x 1 x
0 0 1 0 1 0 0 x 1 x x 1
0 1 0 0 1 1 0 x x 0 1 x
0 1 1 1 0 0 1 x x 1 x 1
1 0 0 0 0 0 x 1 0 x 0 x
1 0 1 x xx x x x x x x
1 1 0 x xx x x x x x x
1 1 1 x xx x x x x x x
PROCEDURE:
1. Verify all the components and patch cords for good working condition.
4. Provide input data to circuit via switches and verify the truth table.
RESULT:
EXPERIMENT NO 9
ASYNCHRONOUS COUNTER USING DECADE COUNTER IC
AIM: Design and implement asynchronous counter using decade counter IC to count up from 0
to n (n≤9) and demonstrate on 7-segment display.
COMPONENTS USED: IC 74LS90, Patch chords, Power chords and Trainer kit.
THEORY:
Asynchronous counter is a counter in which the clock signal is connected to the clock input of
only first stage flip flop. The clock input of the second stage flip flop is triggered by the output of
the first stage flip flop and so on. This introduces an inherent propagation delay time through a
flip flop. A transition of input clock pulse and a transition of the output of a flip flop can never
occur exactly at the same time. Therefore, the two flip flops are never simultaneously triggered,
which results in asynchronous counter operation.
Pin Diagram
Circuit Diagram:
Function Table:
Clock Qa Qb Qc Qd
0 0 0 0 0
1 0 0 0 1
2 0 0 1 0
3 0 0 1 1
4 0 1 0 0
5 0 1 0 1
6 0 1 1 0
8 0 1 1 1
9 1 0 0 0
RESULT:
Truth table is verified.