VHDL Project: Title: "Stop Watch"
VHDL Project: Title: "Stop Watch"
VHDL Project: Title: "Stop Watch"
PREPARED BY:-
07EC220:Trivedi Umang
07EC235:Soriya Hitesh
07EC244:Patel Bhavesh
GUIDED BY:-
Mr.RUTVIJ JOSHI
Program:-
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY stop IS
PORT (clk, rst,stop : IN STD_LOGIC;
digit1: OUT STD_LOGIC_VECTOR (6 DOWNTO 0);
e ,f:inout std_logic;
seg : inout std_logic_vector(3 downto 0));
END stop;
process(e)
variable count1:integer range 1 to 2499750;
begin
if clk'event and clk='1' then
if count1 = 2499750 then
f <= not(f);
count1:= 1;
else
count1:= count1 +1;
end if;
end if;
end process;
process(e)
variable count2: integer range 0 to 3;
begin
if e'event and e = '1' then
if count2 = 0 then
seg <= "1110";
count2 := count2 + 1;
elsif count2 = 1 then
seg <= "1101";
count2 := count2 + 1;
elsif count2 = 2 then
seg <= "1011";
count2 := count2 + 1;
elsif count2 = 3 then
seg <= "0111";
count2 := 0;
end if;
end if;
end process;
process(rst,stop,f)
variable temp1:integer range 0 to 10;
variable temp2:integer range 0 to 10;
variable temp3:integer range 0 to 6;
variable temp4:integer range 0 to 10;
begin
if(rst='1')then
temp1:=0;
temp2:=0;
temp3:=0;
temp4:=0;
elsif(stop='1')then
null;
END IF;
END PROCESS;
END watch;
SCHEMATIC DIAGRAM:-
APPLICATION:-
The stop watch is utilized to determine
time taken for accomplishment of
various purposes like in sports.
The circuit can be utilized commercially
as stop watch.