codpdf
codpdf
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity Four_DIGIT_SSD is
);
end Four_DIGIT_SSD;
begin
process(CLK)
begin
N <= N+1;
end if;
end process;
"11111111";
AN <= ANA;
-- segment selection
"1111111";
end BEHAVIORAL;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
entity ps2_scancode is
Port (
);
end ps2_scancode;
component shift_register is
);
end component;
component Four_DIGIT_SSD is
);
end component;
begin
process(clk)
begin
if rising_edge(clk) then
end if;
end process;
process(clk)
begin
if rising_edge(clk) then
data_ready<='0';
if bit_count = 10 then
bit_count<=0;
data_ready<='1';
else
bit_count<=bit_count+1;
end if;
end if;
end if;
end process;
q1=>i1,
q2=>i2,
q3=>i3,
q4=>i4
);
CLK=>clk,
DIGI1=>i1,
DIGI2=>i2,
DIGI3=>i3,
DIGI4=>i4,
AN=>AN,
SEG=>CT
);
end Behavioral;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
entity shift_register is
);
end shift_register;
begin
process(clk)
begin
if rising_edge(clk) then
if dot = 3 then
dot <= 0;
else
end if;
if dot = 0 then
dot <= 3;
else
end if;
end if;
end if;
end if;
end process;
-- Process for data input and special functions
process(clk)
begin
if rising_edge(clk) then
else
case sin is
end case;
end if;
end if;
end process;
q1 <= state(0);
q2 <= state(1);
q3 <= state(2);
q4 <= state(3);
end Behavioral;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity top_project is
Port (
);
end top_project;
component ps2_scancode is
Port (
end component;
begin
end Behavioral;